FPGA【Verilog分频器】

2024-03-05 01:20
文章标签 fpga verilog 分频器

本文主要是介绍FPGA【Verilog分频器】,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

        在数字系统的设计中经常会碰到需要使用多个时钟的情况。时钟信号的产生通常具有两种方法,一种是使用PLL(Phase Locked Loop,锁相环),可生成倍频、分频信号;另一种则是使用硬件描述语言构建一个分频电路。

        分频器的设计通常分为以下三类:奇数分频器、偶数分频器及小数分频器。

1.偶数分频

        只是注意时钟翻转的条件是(N/2)还是(N/2)-1,非阻塞赋值在下一个时钟才会更新值

(1)请使用D触发器设计一个同时输出2/4/8分频的50%占空比的时钟分频器,注意rst为低电平复位

`timescale 1ns/1nsmodule even_div(input     wire rst,input     wire clk_in,output    wire clk_out2,output    wire clk_out4,output    wire clk_out8);
//*************code***********//reg clk_out2_r;reg clk_out4_r;reg clk_out8_r;//2分频always@(posedge clk_in or negedge rst)beginif(!rst)beginclk_out2_r <= 0;endelse beginclk_out2_r <= ~clk_out2_r;endend//4分频always@(posedge clk_out2 or negedge rst)beginif(!rst)beginclk_out4_r <= 0;endelse beginclk_out4_r <= ~clk_out4_r;endend//8分频always@(posedge clk_out4 or negedge rst)beginif(!rst)beginclk_out8_r <= 0;endelse beginclk_out8_r <= ~clk_out8_r;endendassign clk_out2 = clk_out2_r;assign clk_out4 = clk_out4_r;assign clk_out8 = clk_out8_r;
//*************code***********//
endmodule

 (2)8分频

//8分频电路设计
module divider_8                //模块名
(input		sys_clk,	    //时钟(设定为 50MHz)input		sys_rst_n,	    //复位信号(n 表示低电平有效)output	reg	clk_8		    //输出8分频信号
);reg [1:0]	cnt;                //reg 定义  //计数模块
//从0计数到3共计4个时钟周期
always@(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)cnt <= 2'd0;			//复位清零else if(cnt == 2'd3)		//从0开始计数,所以需要 -1cnt <= 2'd0;			//计满则清零elsecnt <= cnt + 2'd1; 		//没记满就一直计数
end//8分频时钟输出模块
//满足计数条件则对8分频时钟进行反转
//8分频时钟每隔4个周期反转一次,所以8分频的周期即为8个时钟周期
always@(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)                  clk_8 <= 1'b0;          //复位清零else if(cnt == 2'd3)  		//记满4个时钟周期clk_8 <= ~clk_8;        //计满则输出反转else                            clk_8 <= clk_8; 		//没记满就保持原来状态
endendmodule

testbench

`timescale 1ns/1ns		//时间刻度:单位1ns,精度1nsmodule tb_divider_8();	//仿真模块//输入reg 定义
reg	sys_clk;			
reg sys_rst_n;//输出wire定义
wire clk_8;//设置初始化条件
initial beginsys_clk = 1'b0;		//初始时钟为0sys_rst_n <= 1'b0;	//初始复位为0#10					//10个时间单位后sys_rst_n <= 1'b1;  //拉高复位(此时复位无效)
end
//always代表重复进行,#10代表每10个时间单位
//每10个时间单位反转时钟,即时钟周期为20个时间单位(20ns)
always #10 sys_clk = ~sys_clk;	
//例化被测试模块
divider_8 divider_8_inst
(.sys_clk 	(sys_clk ), 		.sys_rst_n 	(sys_rst_n ), 				.clk_8 		(clk_8 ) 		
);endmodule

2.奇数分频

        奇数分频比偶数分频复杂一些,当不要求分频的占空比时,对输入时钟clk上升沿计数,可以设置两个计数的翻转点,一个是(N-1)/2,一个是(N-1),计数到(N-1)时输出时钟翻转且将计数器清零,假设计数器计数0~(N-1)/2区间输出低电平,则输出时钟的低电平有(N-1)/2 + 1个clk周期,高电平的计数是(N-1)/2+1 ~ (N-1),共(N-1)/2个clk周期,可见不是50%占空比。

         当要求占空比为50%时,对输入时钟clk的上升沿和下降沿分别计数,根据两个计数器得到两个错位输出的时钟,将两个时钟做“或”运算可以弥补相差的时钟,达到50%占空比

/********************************************计数器实现 7 分频
*********************************************/
module Odd_Divider(inputclk,inputrst_n,outputclk_divider
);reg [2:0] count_p;    //上升沿计数
reg [2:0] count_n;    //下降沿计数
reg clk_p;                   //上升沿分频
reg clk_n;                   //下降沿分频//上升沿计数
always @ ( posedge clk or negedge rst_n )
beginif(!rst_n )count_p<= 3'b0;elseif( count_p == 3'd6 )count_p<= 3'b0;else count_p<= count_p + 1'b1;
end//上升沿分频
always @ ( posedge clk or negedge rst_n )
beginif(!rst_n ) beginclk_p<= 1'b0;endelsebeginif(count_p == 3'd3 || count_p == 3'd6 ) beginclk_p<= ~clk_p;endend
end//下降沿计数
always @ ( negedge clk or negedge rst_n )
beginif(!rst_n )count_n<= 3'b0;elseif( count_n == 3'd6 )count_n<= 3'b0;else count_n<= count_n + 1'b1;
end//下降沿分频
always @ ( negedge clk or negedge rst_n )
beginif(!rst_n ) beginclk_n<= 1'b0;endelsebeginif(count_n == 3'd3 || count_n == 3'd6 ) beginclk_n<= ~clk_n;endend
endassign clk_divider = clk_p | clk_n;endmodule

判断条件需要确认一下,计数器为上升沿触发开始计数,上升沿触发为记完结束,下降沿触发为一半

3.小数分频

/********************************************计数器实现 3.5 分频,N=3,2N=6
*********************************************/
moduleNpoint5_Divider(input clk,input rst_n,output clk_divider
);reg[2:0] count_p;    //上升沿计数
reg[2:0] count_n;    //下降沿计数
regclk_p;                                      //上升沿分频
regclk_n;                                      //下降沿分频//上升沿计数
always @( posedge clk or negedge rst_n )
beginif( !rst_n )count_p <= 3'b0;else if( count_p == 3'd6 )count_p <= 3'b0;else count_p <= count_p + 1'b1;
end//上升沿分频
always  @ ( posedge clk or negedge rst_n )
beginif( !rst_n ) beginclk_p <= 1'b0;endelse beginif( count_p == 3'd4 ||count_p == 3'd0 ) beginclk_p <= ~clk_p;endend
end//下降沿计数
always @( negedge clk or negedge rst_n )
beginif( !rst_n )count_n <= 3'b0;else if( count_n == 3'd6 )count_n <= 3'b0;else count_n <= count_n + 1'b1;
end//下降沿分频
always  @ ( negedge clk or negedge rst_n )
beginif( !rst_n ) beginclk_n <= 1'b1;endelse beginif( count_n == 3'd4 ||count_n == 3'd1 ) beginclk_n <= ~clk_n;endend
endassignclk_divider = clk_p & clk_n;endmodule

这篇关于FPGA【Verilog分频器】的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/774871

相关文章

FPGA编译与部署方法全方位介绍

FPGA编译与部署是FPGA开发中的核心环节,涉及从代码编写、调试到将设计部署到FPGA硬件的全过程。这个流程需要经过创建项目、编写FPGA VI、模拟调试、编译生成比特流文件,最后将设计部署到硬件上运行。编译的特点在于并行执行能力、定制化硬件实现以及复杂的时钟管理。通过LabVIEW的FPGA模块和NI硬件,可以快速完成开发和部署,尤其适用于复杂控制与高性能数据处理系统。 1. FPG

FPGA开发:条件语句 × 循环语句

条件语句 if_else语句 if_else语句,用来判断是否满足所给定的条件,根据判断的结果(真或假)决定执行给出的两种操作之一。 if(表达式)语句; 例如: if(a>b) out1=int1; if(表达式)         语句1; else         语句2; 例如: if(a>b)out1=int1;elseout1=int2; if(表达式1) 语句1; els

数字电路专题:verilog 阻塞赋值和非阻塞赋值

verilog 阻塞赋值 和 非阻塞赋值 “=”阻塞赋值, ”<=”非阻塞赋值。阻塞赋值为执行完一条赋值语句,再执行下一条,可理解为顺序执行,而且赋值是立即执行; 非阻塞赋值可理解为并行执行,不考虑顺序,在 always 块语句执行完成后,才进行赋值。 如下面的阻塞赋值: //代码如下:module top(din,a,b,c,clk);input din;input clk;out

FPGA开发:模块 × 实例化

模块的结构 对于C语言,其基本单元为函数。与此类似,Verilog的基本设计单元称之为"模块"(block)。对于整个项目的设计思想就是模块套模块。 一个模块由两个部分组成:一部分描述接口,一部分描述逻辑功能。 每个Verilog模块包含4个部分:端口定义、IO说明、内部信号声明、功能定义。且位于module和endmodule之间,如下: module block(a,b,c);inpu

LabVIEW环境中等待FPGA模块初始化完成

这个程序使用的是LabVIEW环境中的FPGA模块和I/O模块初始化功能,主要实现等待FAM(Field-Programmable Gate Array Module,FPGA模块)的初始化完成,并处理初始化过程中的错误。让我们逐步分析各部分的功能: 1. Wait for FAM Initialization框架 此程序框架用于等待I/O模块成功初始化。如果在5秒钟内模块没有完成配

FPGA随记——小说 可综合和不可综合

当然我在网络上找到了些可综合和不可综合的解释 感觉也很有参考价值: https://wenda.so.com/q/1378362174074040 综合就是把你写的rtl代码转换成对应的实际电路。 比如你写代码assign a=b&c; EDA综合工具就会去元件库里拿一个二输入与门出来,然后输入端分别接上b和c,输出端接上a 假如你写了很多这样的语句 assign a=b&c; assig

Xilinx系FPGA学习笔记(五)ROM的IP核学习

系列文章目录 文章目录 系列文章目录前言ROM IP分布式ROM生成ROM配置创建COE文件 块ROM生成如何快速生成Example Design 两种ROM对比 前言 最近在学习小梅哥的xilinx型FPGA开发板,一边学习一边记录,简化整理一下笔记 ROM IP 在 Memories &Storage Elements 下可以看到有两个与 ROM 相关的

基于FPGA的开源项目:FOC/SHA/USB/JPEG等

文章目录 [1. USB 1.1控制器](https://github.com/WangXuan95/FPGA-USB-Device)[2. FOC控制算法](https://github.com/WangXuan95/FPGA-FOC)[3. BSV高级硬件描述语言入门指南](https://github.com/WangXuan95/BSV_Tutorial_cn)[4. 基于XDMA的

LCD彩条显示——FPGA学习笔记10

部分素材来自原子哥 一、LCD简介         基本原理:在两块平行玻璃板中填充液晶材料,通过电场控制液晶分子旋转从而达到透光和遮光的目的。

systemverilog、verilog的部分常用内部函数

1. $ceil 作用:将给定的实数或浮点数向上取整。示例:$ceil(3.2) 返回 4。 2. $floor 作用:将给定的实数或浮点数向下取整。示例:$floor(3.9) 返回 3。 3. $value$plusargs 作用:从命令行读取传递给仿真器的参数。格式:$value$plusargs("格式", 变量),格式 用来匹配命令行的参数,变量 是用来存储匹配到的值。示例:$