本文主要是介绍基于FPGA实现JPEG压缩算法-灰度图像的8x8分块,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!
1、JPEG的算法不做阐述,网上太多了,只关心FPGA代码实现,通过MATLAB的与FPGA的交互,验证代码的正确性,这篇博文主要实现图像的8x8分块处理,如输入图像256(行)x512(列)转换到1x64x2048的过程。
2、截取桌面图片,剪裁到256x512个像素大小。
3、matlab制作仿真数据,其中image_gray.txt为vivado要读取的数据,image_gray_mat.txt为matlab生成8x8的数据,最后验证FPGA生成数据与MATLAB生成数据是否相同,从而验证逻辑正确性。
clear;
clc;
I=imread('test5.bmp');
figure(1);
imshow(I);
I2=rgb2gray(I);
%gray_pic=im2double(I2);
gray_pic=I2';
figure(2);
imshow(I2);fid1 = fopen('image_gray.txt','wt');
fprintf(fid1,'%g\n',gray_pic);
fclose(fid1);[rows,cols,colors] = size(I2);
image_gray_mat=[];
for jj=1:8:rowsfor ii=1:8:colsfor ki=1:1:8for kj=1:1:8image_gray_mat=[image_gray_mat,I2(ki+jj-1,kj+ii-1)];endendend
endfid2 = fopen('image_gray_mat.txt','wt');
fprintf(fid2,'%g\n',image_gray_mat);
fclose(fid2);
4、FPGA仿真文件部分代码,主要是读取文件中的数据
//图像属性
localparam IM_NUM_ROW = 256;
localparam IM_NUM_COL = 512;
localparam IM_NUM_GAP = 40;//载入图像reg [7:0] image_dat [0:IM_NUM_ROW*IM_NUM_COL-1];integer file_gray;integer i;
integer j_gray;initial beginfile_gray=$fopen("image_gray.txt","r");for (i=0; i<IM_NUM_ROW*IM_NUM_COL; i=i+1) begin j_gray = $fscanf(file_gray,"%d",image_dat[i][7:0]);end $fclose(file_gray);
end
5、部分关键代码
//*********************************************************
//测试8x8 输出txt 与matlab对比
integer image_out;initial beginimage_out = $fopen("image_gray_out.txt","w");wait (data_finish == 1);#10000;$fclose(image_out);$stop;
endalways@(posedge clk_sys) beginif(data_cs) begin$fdisplay(image_out,"%d",data_out);end
end
//********************************************************
6、功能仿真
7、验证数据正确性,通过比较工具可知,FPGA逻辑功能正确。
8、所有代码
https://download.csdn.net/download/sinat_39724439/12728061
这篇关于基于FPGA实现JPEG压缩算法-灰度图像的8x8分块的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!