基于FPGA的DVP协议实现标准图像数据流转换(OV7670摄像头)

2023-10-30 16:40

本文主要是介绍基于FPGA的DVP协议实现标准图像数据流转换(OV7670摄像头),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

目录

一、什么是DVP?

二、OV7670摄像头的DVP协议时序

三、RTL设计


一、什么是DVP?

DVP(Digital Video Port) 是传统的sensor输出接口,采用并行输出方式,d数据位宽有8bit、10bit、12bit、16bit,是CMOS电平信号(重点是非差分信号),PCLK最大速率为96MHz,接口如下图:

DVPæ¥å£

PCLK:pixel clock ,像素时钟,每个时钟对应一个像素数据;
       HSYNC:horizonal synchronization,行同步信号
       VSYNC:vertical synchronization,帧同步信号;
       DATA:像素数据,视频数据,具体位宽要看ISP是否支持;
       XCLK:或者MCLK,ISP芯片输出给驱动sensor的时钟;
       SCLSDA:IIC用来读写sensor的寄存器,配置sensor。

DVP协议是摄像头中常用的协议,除了DVP协议摄像头中还有其他常用的协议如:MIPI、LVDS等协议。其整体协议时序图如图:

图中PIXCLK信号即是PCLk信号,FV是帧同步信号,LV是行同步信号,输出的P0~Pn就是像素数据。

二、OV7670摄像头的DVP协议时序

(1)水平时序

(2)和VGA对应的帧时序

DVP协议和VGA接口协议基本一样,只是VSYNC信号高低电平相反了。还有DVP协议的HREF信号是在HERF为高电平是直接输出像素数据,而VGA接口的HSYNC信号在HSYNC为高时先后输出显示后沿、有效图像数据、显示前沿。

(3)这里对OV7670摄像头配置输出的是RGB565图像数据,其时序为:

(4)配置成RGB555和RGB444输出时序如图:

RGB555
RGB444

三、RTL设计

从上边所看的时序图可以将DVP协议转换成标准的图像的数据流,代码如下:


// Company  : 
// Engineer : 
// -----------------------------------------------------------------------------
// https://blog.csdn.net/qq_33231534    PHF's CSDN blog
// -----------------------------------------------------------------------------
// Create Date    : 2020-09-24 22:17:57
// Revise Data    : 2020-09-24 22:17:57
// File Name      : ov7670_data_16rgb565.v
// Target Devices : XC7Z015-CLG485-2
// Tool Versions  : Vivado 2019.2
// Revision       : V1.1
// Editor         : sublime text3, tab size (4)
// Description    : DVP协议(digital video port)获取ov7670数据并转换成16位RGB565图像数据module ov7670_data_16rgb565(input				clk				,//输入为摄像头输入时钟pclk 25MHzinput				rst_n			,//系统复位input				vsync			,//场同步信号input				href			,//行同步信号input	[7:0]		din				,//ov7670摄像头数据输入input				init_done		,//ov7670摄像头初始化结束标志output	reg[15:0]	data_rgb565		,//转换成16位RGB565图像数据output	reg			data_rgb565_vld	 //16位RGB565图像数据有效标志);reg			vsync_r			;reg			href_r			;reg	[7:0]	din_r			;reg			vsync_r_ff0		;reg			vsync_r_ff1		;reg			data_start		;reg	[3:0]	frame_cnt		;reg			frame_vaild		;wire		vsync_r_pos		;reg			data_en			;//外部信号打一拍always @(posedge clk or negedge rst_n) beginif (!rst_n) beginvsync_r <= 0;href_r <= 0;din_r <= 8'd0;endelse beginvsync_r <= vsync;href_r <= href;din_r <= din;endend//场同步信号上升沿检测always @(posedge clk or negedge rst_n) beginif (!rst_n) beginvsync_r_ff0 <= 0;vsync_r_ff1 <= 0;endelse beginvsync_r_ff0 <= vsync_r;vsync_r_ff1 <= vsync_r_ff0;endendassign vsync_r_pos = (vsync_r_ff0 && ~vsync_r_ff1);always @(posedge clk or negedge rst_n) beginif (!rst_n) begindata_start <= 0;endelse if (init_done) begindata_start <= 1;endelse begindata_start <= data_start;endendalways @(posedge clk or negedge rst_n) beginif (!rst_n) beginframe_cnt <= 0;endelse if (data_start && frame_vaild==0 && vsync_r_pos) beginframe_cnt <= frame_cnt + 1'b1;endelse beginframe_cnt <= frame_cnt;endendalways @(posedge clk or negedge rst_n) beginif (!rst_n) beginframe_vaild <= 0;endelse if (frame_cnt >= 10) beginframe_vaild <= 1;endelse beginframe_vaild <= frame_vaild;endendalways @(posedge clk or negedge rst_n) beginif (!rst_n) begindata_en <= 0;endelse if (href_r && frame_vaild) begindata_en <= ~data_en;endelse begindata_en <= 0;endendalways @(posedge clk or negedge rst_n) beginif (!rst_n) begindata_rgb565_vld <= 0;endelse if (data_en) begindata_rgb565_vld <= 1;endelse begindata_rgb565_vld <= 0;endendalways @(posedge clk or negedge rst_n) beginif (!rst_n) begindata_rgb565 <= 16'd0;endelse if (data_en) begindata_rgb565 <= {data_rgb565[15:8],din_r};endelse begindata_rgb565 <= {din_r,data_rgb565[7:0]};endendendmodule

 测试代码:


`timescale 1ns/1nsmodule ov7670_data_16rgb565_tb (); /* this is automatically generated */reg rst_n;reg clk;localparam clk_period = 20;reg        vsync;reg        href;reg  [7:0] din;reg        init_done;wire [15:0] data_rgb565;wire        data_rgb565_vld;ov7670_data_16rgb565 inst_ov7670_data_16rgb565(.clk             (clk),.rst_n           (rst_n),.vsync           (vsync),.href            (href),.din             (din),.init_done       (init_done),.data_rgb565     (data_rgb565),.data_rgb565_vld (data_rgb565_vld));initial clk = 1;always #(clk_period/2) clk = ~clk;initial begin#2;rst_n = 0;vsync = 0;href = 0;din = 0;init_done = 0;#(clk_period*20);rst_n = 1;#(clk_period*20);init_done = 1;#clk_period;init_done = 0;#(clk_period*20);repeat(12)begin#(clk_period*500);dvp_data();end#(clk_period*20);$stop;endtask dvp_data;integer i,j;beginvsync = 0;#(clk_period*10);vsync = 1;#(clk_period*10);vsync = 0;#(clk_period*100);for(i=0;i<480;i=i+1)beginfor(j=0;j<640*2;j=j+1)beginhref = 1;#(clk_period);din = din + 1'b1;endhref = 0;#(clk_period*100);enddin = 0;endendtaskendmodule

仿真图如图所示:

(1)传输12帧图像数据

可以看到,data_rgb565_vld数据有效信号在10帧图像数据后才有输出,这是为了在初始化后图像数据可能会有不稳定情况,因此将前十帧图像丢弃。

(2)1帧图像数据(注意看帧同步信号)

(3)传输数据部分细节

 

 

这篇关于基于FPGA的DVP协议实现标准图像数据流转换(OV7670摄像头)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/309223

相关文章

基于人工智能的图像分类系统

目录 引言项目背景环境准备 硬件要求软件安装与配置系统设计 系统架构关键技术代码示例 数据预处理模型训练模型预测应用场景结论 1. 引言 图像分类是计算机视觉中的一个重要任务,目标是自动识别图像中的对象类别。通过卷积神经网络(CNN)等深度学习技术,我们可以构建高效的图像分类系统,广泛应用于自动驾驶、医疗影像诊断、监控分析等领域。本文将介绍如何构建一个基于人工智能的图像分类系统,包括环境

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo

C#实战|大乐透选号器[6]:实现实时显示已选择的红蓝球数量

哈喽,你好啊,我是雷工。 关于大乐透选号器在前面已经记录了5篇笔记,这是第6篇; 接下来实现实时显示当前选中红球数量,蓝球数量; 以下为练习笔记。 01 效果演示 当选择和取消选择红球或蓝球时,在对应的位置显示实时已选择的红球、蓝球的数量; 02 标签名称 分别设置Label标签名称为:lblRedCount、lblBlueCount

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略 1. 特权模式限制2. 宿主机资源隔离3. 用户和组管理4. 权限提升控制5. SELinux配置 💖The Begin💖点点关注,收藏不迷路💖 Kubernetes的PodSecurityPolicy(PSP)是一个关键的安全特性,它在Pod创建之前实施安全策略,确保P

工厂ERP管理系统实现源码(JAVA)

工厂进销存管理系统是一个集采购管理、仓库管理、生产管理和销售管理于一体的综合解决方案。该系统旨在帮助企业优化流程、提高效率、降低成本,并实时掌握各环节的运营状况。 在采购管理方面,系统能够处理采购订单、供应商管理和采购入库等流程,确保采购过程的透明和高效。仓库管理方面,实现库存的精准管理,包括入库、出库、盘点等操作,确保库存数据的准确性和实时性。 生产管理模块则涵盖了生产计划制定、物料需求计划、

C++——stack、queue的实现及deque的介绍

目录 1.stack与queue的实现 1.1stack的实现  1.2 queue的实现 2.重温vector、list、stack、queue的介绍 2.1 STL标准库中stack和queue的底层结构  3.deque的简单介绍 3.1为什么选择deque作为stack和queue的底层默认容器  3.2 STL中对stack与queue的模拟实现 ①stack模拟实现