FPGA中Verilog HDL/VHDL实现NCO(数字控制振荡器)

2023-10-11 08:50

本文主要是介绍FPGA中Verilog HDL/VHDL实现NCO(数字控制振荡器),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

数控振荡器(NCO,numerically controlled oscillator)软件无线接收机,直接频率合成器(DDS),快速傅里叶变换(FFT)的重要组成部分,NCO采用全数字技术实现,具有分辨率高,频率切换快,相位噪声低等优点。
一般实现NCO的方法是查表法,即实现计算好各个相位的正余弦值存储在查找表中,然后通过寻址输出相应的正余弦值。
下面利用查表法分两步实现一个基于FPGA以及VerilogHDL语言的NCO。
1.利用matlab软件将所需要的相位的正余弦值计算好待用
其中利用matlab产生正余弦值的程序如下:

clear,clc,close all
%%设置参数
sam_clk=20; %%采样频率
out_freq=1;%%输出频率
data_width=18;%%数据位宽, 量化位数
N=20;
%%计算正弦值
sin_1=-sin(([0:N])*2*pi*out_freq/sam_clk);
sin_1_out=round(sin_1*2^(data_width-1));%%计算余弦值
cos_1=cos(([0:N])*2*pi*out_freq/sam_clk);
cos_1_out=round(cos_1*2^(data_width-1));
%%调整输出格式
sin=[sin_1_out];
cos=[cos_1_out];sin_bin=zeros(1,2001);
cos_bin=zeros(1,2001);
sin_bin=[];
cos_bin=[];
for cnt=1:20
sin_bin=[sin_bin    ; dec2binPN(sin(cnt),18)];
end 
for cnt=1:20
cos_bin=[cos_bin    ; dec2binPN(cos(cnt),18)];
end 

将得到的值plot得正余弦波形如下:
matlab中得到的正余弦波形
2.FPGA中实现nco
首先将上一步matlab中生成的数据导出,存入mif表中,然后在quartus中调用两个rom并用mif分别进行初始化,然后通过程序调用这两个rom,分别根据地址输出对应的值,编写的verilog HDL程序如下:

module nco(input clk,input nco_en,input rst,output [17:0]nco_I,output [17:0]nco_Q
);reg [4:0]addr;always@(posedge clk or negedge rst)if(rst==1'b0)begin addr<=5'd0;  end else begin if(nco_en==1'b0) addr<=5'd0;else begin if(addr==5'd19) addr<=5'd0;else addr<=addr+1'd1;end end wire [17:0] nco_I_temp;wire [17:0] nco_Q_temp;rom_I  my_rom_I(.address(addr),.clock(clk),.q(nco_I_temp));rom_Q  my_rom_Q(.address(addr),.clock(clk),.q(nco_Q_temp));assign nco_I=nco_I_temp;assign nco_Q=nco_Q_temp;endmodule

编写测试代码如下:

`timescale 1ps/1ps
`define clock_period  20 module nco_tb;reg rst;reg clk;reg nco_en;wire [17:0]nco_I;wire [17:0]nco_Q;nco  my_nco(.clk(clk),.nco_en(nco_en),.rst(rst),.nco_I(nco_I),.nco_Q(nco_Q));initial begin clk=1'b1;end always #(`clock_period/2) clk=~clk;	  initial begin rst=1'b0;#(`clock_period*10)rst=1'b1;nco_en=1'b1;#(`clock_period*200)$stop;end 
endmodule		   

经过quartus ii 软件调用modelsim仿真软件验证所编写nco程序,得到结果如下:

在这里插入图片描述

以上就基于查表法实现了数控振荡器nco






这篇关于FPGA中Verilog HDL/VHDL实现NCO(数字控制振荡器)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/186922

相关文章

openCV中KNN算法的实现

《openCV中KNN算法的实现》KNN算法是一种简单且常用的分类算法,本文主要介绍了openCV中KNN算法的实现,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的... 目录KNN算法流程使用OpenCV实现KNNOpenCV 是一个开源的跨平台计算机视觉库,它提供了各

OpenCV图像形态学的实现

《OpenCV图像形态学的实现》本文主要介绍了OpenCV图像形态学的实现,包括腐蚀、膨胀、开运算、闭运算、梯度运算、顶帽运算和黑帽运算,文中通过示例代码介绍的非常详细,需要的朋友们下面随着小编来一起... 目录一、图像形态学简介二、腐蚀(Erosion)1. 原理2. OpenCV 实现三、膨胀China编程(

通过Spring层面进行事务回滚的实现

《通过Spring层面进行事务回滚的实现》本文主要介绍了通过Spring层面进行事务回滚的实现,包括声明式事务和编程式事务,具有一定的参考价值,感兴趣的可以了解一下... 目录声明式事务回滚:1. 基础注解配置2. 指定回滚异常类型3. ​不回滚特殊场景编程式事务回滚:1. ​使用 TransactionT

Android实现打开本地pdf文件的两种方式

《Android实现打开本地pdf文件的两种方式》在现代应用中,PDF格式因其跨平台、稳定性好、展示内容一致等特点,在Android平台上,如何高效地打开本地PDF文件,不仅关系到用户体验,也直接影响... 目录一、项目概述二、相关知识2.1 PDF文件基本概述2.2 android 文件访问与存储权限2.

使用Python实现全能手机虚拟键盘的示例代码

《使用Python实现全能手机虚拟键盘的示例代码》在数字化办公时代,你是否遇到过这样的场景:会议室投影电脑突然键盘失灵、躺在沙发上想远程控制书房电脑、或者需要给长辈远程协助操作?今天我要分享的Pyth... 目录一、项目概述:不止于键盘的远程控制方案1.1 创新价值1.2 技术栈全景二、需求实现步骤一、需求

Spring Shell 命令行实现交互式Shell应用开发

《SpringShell命令行实现交互式Shell应用开发》本文主要介绍了SpringShell命令行实现交互式Shell应用开发,能够帮助开发者快速构建功能丰富的命令行应用程序,具有一定的参考价... 目录引言一、Spring Shell概述二、创建命令类三、命令参数处理四、命令分组与帮助系统五、自定义S

SpringBatch数据写入实现

《SpringBatch数据写入实现》SpringBatch通过ItemWriter接口及其丰富的实现,提供了强大的数据写入能力,本文主要介绍了SpringBatch数据写入实现,具有一定的参考价值,... 目录python引言一、ItemWriter核心概念二、数据库写入实现三、文件写入实现四、多目标写入

Android Studio 配置国内镜像源的实现步骤

《AndroidStudio配置国内镜像源的实现步骤》本文主要介绍了AndroidStudio配置国内镜像源的实现步骤,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,... 目录一、修改 hosts,解决 SDK 下载失败的问题二、修改 gradle 地址,解决 gradle

SpringSecurity JWT基于令牌的无状态认证实现

《SpringSecurityJWT基于令牌的无状态认证实现》SpringSecurity中实现基于JWT的无状态认证是一种常见的做法,本文就来介绍一下SpringSecurityJWT基于令牌的无... 目录引言一、JWT基本原理与结构二、Spring Security JWT依赖配置三、JWT令牌生成与

SpringBoot实现微信小程序支付功能

《SpringBoot实现微信小程序支付功能》小程序支付功能已成为众多应用的核心需求之一,本文主要介绍了SpringBoot实现微信小程序支付功能,文中通过示例代码介绍的非常详细,对大家的学习或者工作... 目录一、引言二、准备工作(一)微信支付商户平台配置(二)Spring Boot项目搭建(三)配置文件