Vivado使用(5)——使用块综合策略(Block Synthesis)

2024-04-01 01:20

本文主要是介绍Vivado使用(5)——使用块综合策略(Block Synthesis),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

目录

一、概述

1.1 使用块级综合的优势

1.2 注意事项

二、设置一个块级综合流程

三、块级综合选项


一、概述

        在 AMD Vivado™ 综合中,有许多策略和全局设置可供你自定义设计的综合方式。下图展示了综合设置中可用的预定义策略。而“Vivado 预配置策略表”给出了各种预设策略的横向比较。

        你可以使用 RTL 或 XDC 文件中的属性或 XDC 文件覆盖某些设置,例如 -retiming,用于特定的层次结构或信号。然而,一般来说,选项会影响整个设计。

        随着设计变得越来越复杂,这样的设置的应用可能会限制你的设计达到其全部潜力。设计中的某些层次结构可能适用于不同的选项,而其他层次结构则不然。下图显示了一个具有许多不同类型层次结构的中等大小的设计。

        在 Vivado 设计中,一个解决复杂层次结构的方法是将这些层次结构以脱离上下文(Out of Context,OOC)模式进行综合。OOC 模式是有效的,但会使设计流程变得更加复杂。OOC 流程将被指定为以 OOC 模式综合的层次结构分离出来,并将它们与设计的其他部分分开运行。这意味着每个设计的综合运行次数不止一次。此外,OOC 约束必须与设计其余部分的约束分开,这增加了更多的复杂性。

        块级综合流程(BLOCK_SYNTH)使用了一种属性,让你可以在全设计的顶层之外的特定层次结构级别上使用某些全局设置和策略,这与自顶向下流程不同。这样,你可以在不影响整个设计的情况下,针对特定的模块或子系统应用优化策略,以达到更好的综合结果。

1.1 使用块级综合的优势

  • 针对性优化:可以针对特定的设计块应用最适合它们的综合策略和设置。
  • 减少复杂性:通过单独处理每个设计块,可以简化设计的管理和维护。
  • 提高灵活性:允许在不同的设计阶段和不同的层次结构级别上应用不同的综合设置。

1.2 注意事项

  • 接口一致性:需要确保设计块之间的接口一致,以便正确集成。
  • 约束管理:块级综合可能需要单独管理每个设计块的约束。

        总之,块级综合流程(BLOCK_SYNTH)为处理复杂的 FPGA 设计提供了一种有效的方法,使设计者能够在保持设计流程可管理性的同时,针对特定的设计块进行优化。

二、设置一个块级综合流程

        要设置块级综合流程(使用 BLOCK_SYNTH 属性),你需要在 XDC 文件中输入一个 Tcl 属性。命令语法如下:

set_property BLOCK_SYNTH.<option_name> <value> [get_cells <instance_name>]

其中:

  • <option_name> 是你想要设置的选项。
  • <value> 是你分配给该选项的值。
  • <instance_name> 是要设置该选项的层次化实例。

例如:

set_property BLOCK_SYNTH.MAX_LUT_INPUT 4 [get_cells fftEngine]

        这个例子中,将 fftEngine 实例的 MAX_LUT_INPUT 选项设置为 4,这意味着在 fftEngine 实例中不会有 LUT5 或 LUT6 原语。

注意事项:

  • 在实例上设置 BLOCK_SYNTH 会影响该实例及其下层的所有内容。例如,如果 fftEngine 内部实例化了其他模块,这些模块也不会有 LUT5 或 LUT6 原语。
  • 除了影响这个实例外,BLOCK_SYNTH 属性还会使这个实例的层次结构固化。如果这个层次结构包含 I/O 缓冲器或推断输入/输出缓冲器,请特别注意这一点。
  • 当你在一个实例上设置 BLOCK_SYNTH 属性时,该实例会针对特定选项获得该值;所有其他选项使用默认值。

        使用 BLOCK_SYNTH 属性可以为特定的设计块应用特定的综合设置,这有助于优化设计的特定部分,提高整体设计的性能和效率。

        你可以在同一个实例上设置多个 BLOCK_SYNTH 属性,以尝试不同的组合。例如,以下命令保持等价寄存器,禁用有限状态机(FSM)推断,并使用 AlternateRoutability 策略:

set_property BLOCK_SYNTH.STRATEGY {ALTERNATE_ROUTABILITY} [get_cells mod_inst] 
set_property BLOCK_SYNTH.KEEP_EQUIVALENT_REGISTER 1 [get_cells mod_inst] 
set_property BLOCK_SYNTH.FSM_EXTRACTION {OFF} [get_cells mod_inst]

        为了防止影响需要不同属性设置的下层实例,你可以在多个层次上嵌套 BLOCK_SYNTH 属性。如果你只想在一个特定层次上设置它,你可以在那个层次上设置它,并在后续层次上使用以下命令将默认值设置回来:

set_property BLOCK_SYNTH.MAX_LUT_INPUT 6 [get_cells fftEngine/newlevel]

        如果新层次是 fftEngine 下的唯一层次结构,这个命令确保只有 fftEngine 获得 MAX_LUT_INPUT = 4 属性。你也可以在这个层次上放置一组完全不同的选项,而不是回到默认值。

        注意:在执行块级流程时,工具保持设计处于自顶向下模式,意味着整个设计都会经过综合。对于有问题的实例,Vivado 综合保留层次结构,以确保该层次的逻辑不会模糊并且保持在该层次内。这可能会对质量结果(QoR)产生潜在影响。因此,在设置 BLOCK_SYNTH 属性时要小心。只在你知道需要它们的实例上设置它们。

三、块级综合选项

        块级流程(Block-Level Flow)支持工具中的一些预定义策略。允许使用的策略包括:DEFAULTAREA_OPTIMIZEDALTERNATE_ROUTABILITYPERFORMANCE_OPTIMIZED。XDC 约束语法如下:

set_property BLOCK_SYNTH.STRATEGY {<value>} [get_cells <inst_name>]

        以下是支持的 Vivado 块级综合设置的列表(假设的示例,具体设置可能会有所不同):

选项(Option)
类型(Type)取值(Values)描述(Description)
RETIMING
整数0/1
0 – Disable Retiming
1 – EnableRetiming
ADDER_THRESHOLD
整数4-128

在 Vivado 设计套件中,你可以通过设置特定的属性来改变综合时推断进位链(CARRY chain)中加法器的大小阈值。这个阈值是通过加法器操作数的大小来计算的。指定的值应该大于等于输入宽度的总和。

较高的数字意味着使用更多的查找表(LUTs)来实现加法器。较低的数字意味着使用更多的进位链(CARRY chains)来实现加法器。

调整这个阈值可以帮助你在逻辑资源使用和性能之间找到平衡。使用更多的 LUTs 可能会增加设计的面积,但可能会提高性能。相反,使用更多的进位链可能会减少面积,但可能会降低性能。

COMPARATOR_THRESHOLD
整数4-128

在 Vivado 设计套件中,你也可以通过设置特定的属性来改变综合时推断进位链(CARRY chain)中比较器(comparator)的大小阈值。这个阈值的设置方式与加法器的类似:

较高的数字意味着使用更多的查找表(LUTs)来实现比较器。较低的数字意味着使用更多的进位链(CARRY chains)来实现比较器。

调整这个阈值可以帮助你在逻辑资源使用和性能之间找到平衡。使用更多的 LUTs 可能会增加设计的面积,但可能会提高性能。相反,使用更多的进位链可能会减少面积,但可能会降低性能。

SHREG_MIN_SIZE
整数3-32

在 Vivado 设计套件中,你可以通过设置特定的属性来改变综合时推断移位寄存器链(SRL primitives)之前的寄存器链的大小阈值。这个阈值的设置会影响综合工具是倾向于使用寄存器(registers)还是移位寄存器(SRLs)来实现设计中的寄存器链:

较高的数字意味着在推断 SRL 之前会使用更多的寄存器。较低的数字意味着更倾向于使用 SRLs 来代替寄存器。

调整这个阈值可以帮助你在资源使用和性能之间找到平衡。使用更多的寄存器可能会增加设计的面积,但可能会提高性能。相反,使用更多的 SRLs 可能会减少面积,但可能会降低性能。

FSM_EXTRACTION
字符
OFF
ONE_HOT
SEQUENTIAL
GRAY
JOHNSON
AUTO
设置合成工具所推断的状态机的编码。
LUT_COMBINING
整数0/1
0 – Disable LUT combining
1 – Enable LUT combining
CONTROL_SET_THRESHOLD
整数0-128

在 Vivado 设计套件中,可以通过设置特定的属性来控制在综合推断带有控制信号的寄存器之前,控制信号所需的扇出(fanout)。这个属性的设置会影响控制信号和触发器(flop)的 D 输入之间逻辑分配的方式:

较高的数字意味着控制信号上的逻辑更少,而触发器的 D 输入上的逻辑更多较低的数字意味着更多的控制信号和较少的逻辑在触发器的 D 输入上。

调整这个属性可以帮助优化设计中的控制逻辑,以达到更好的性能或资源利用率。例如,如果设计中有大量控制信号驱动多个触发器,通过增加扇出阈值,可以减少控制信号上的逻辑门数量,从而简化设计并可能降低功耗。

MAX_LUT_INPUT
整数4-6
4 – No LUT5 or LUT6 primitives are inferred
5 – No LUT6 primitives are inferred
6 – All LUTs can be inferred.
MUXF_MAPPING
整数0/1
0 – Disable MUXF7/F8/F9 inference
1 – Enable MUXF7/F8/F9 inference
KEEP_EQUIVALENT_REGISTER
整数0/1
0 – Merges equivalent registers
1 – Retains equivalent registers
PRESERVE_BOUNDARY
整数
Any number
这个选项可以与增量综合(Incremental Synthesis)一起使用,用于标记已知会发生变化的层次结构。使用这个选项可以使层次结构变得静态,并允许增量流程正常工作。设置这个选项的值并不重要,因为仅仅设置这个选项就足够了。
LOGIC_COMPACTION
整数1在 Vivado 设计套件中,可以通过设置特定的综合选项或属性来调整进位链(CARRY chains)和查找表(LUTs)的排列方式,使得逻辑更加紧凑,使用更少的切片(SLICES)。这种优化可以减少所需的硬件资源,从而降低设计的面积并可能提高性能。
SRL_STYLE
字符
REGISTER
SRL
SRL_REG
REG_SRL
REG_SRL_REG
设置推断的SRL的默认实现。

        注意:这些设置中的每一个都可以用来优化特定的设计块以满足不同的设计目标,如面积优化、路由灵活性或性能优化。在应用这些设置时,建议仔细考虑设计的具体需求,并在必要时进行实验以找到最佳的配置。

这篇关于Vivado使用(5)——使用块综合策略(Block Synthesis)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/865880

相关文章

C语言中联合体union的使用

本文编辑整理自: http://bbs.chinaunix.net/forum.php?mod=viewthread&tid=179471 一、前言 “联合体”(union)与“结构体”(struct)有一些相似之处。但两者有本质上的不同。在结构体中,各成员有各自的内存空间, 一个结构变量的总长度是各成员长度之和。而在“联合”中,各成员共享一段内存空间, 一个联合变量

Tolua使用笔记(上)

目录   1.准备工作 2.运行例子 01.HelloWorld:在C#中,创建和销毁Lua虚拟机 和 简单调用。 02.ScriptsFromFile:在C#中,对一个lua文件的执行调用 03.CallLuaFunction:在C#中,对lua函数的操作 04.AccessingLuaVariables:在C#中,对lua变量的操作 05.LuaCoroutine:在Lua中,

Vim使用基础篇

本文内容大部分来自 vimtutor,自带的教程的总结。在终端输入vimtutor 即可进入教程。 先总结一下,然后再分别介绍正常模式,插入模式,和可视模式三种模式下的命令。 目录 看完以后的汇总 1.正常模式(Normal模式) 1.移动光标 2.删除 3.【:】输入符 4.撤销 5.替换 6.重复命令【. ; ,】 7.复制粘贴 8.缩进 2.插入模式 INSERT

Lipowerline5.0 雷达电力应用软件下载使用

1.配网数据处理分析 针对配网线路点云数据,优化了分类算法,支持杆塔、导线、交跨线、建筑物、地面点和其他线路的自动分类;一键生成危险点报告和交跨报告;还能生成点云数据采集航线和自主巡检航线。 获取软件安装包联系邮箱:2895356150@qq.com,资源源于网络,本介绍用于学习使用,如有侵权请您联系删除! 2.新增快速版,简洁易上手 支持快速版和专业版切换使用,快速版界面简洁,保留主

如何免费的去使用connectedpapers?

免费使用connectedpapers 1. 打开谷歌浏览器2. 按住ctrl+shift+N,进入无痕模式3. 不需要登录(也就是访客模式)4. 两次用完,关闭无痕模式(继续重复步骤 2 - 4) 1. 打开谷歌浏览器 2. 按住ctrl+shift+N,进入无痕模式 输入网址:https://www.connectedpapers.com/ 3. 不需要登录(也就是

Toolbar+DrawerLayout使用详情结合网络各大神

最近也想搞下toolbar+drawerlayout的使用。结合网络上各大神的杰作,我把大部分的内容效果都完成了遍。现在记录下各个功能效果的实现以及一些细节注意点。 这图弹出两个菜单内容都是仿QQ界面的选项。左边一个是drawerlayout的弹窗。右边是toolbar的popup弹窗。 开始实现步骤详情: 1.创建toolbar布局跟drawerlayout布局 <?xml vers

C#中,decimal类型使用

在Microsoft SQL Server中numeric类型,在C#中使用的时候,需要用decimal类型与其对应,不能使用int等类型。 SQL:numeric C#:decimal

探索Elastic Search:强大的开源搜索引擎,详解及使用

🎬 鸽芷咕:个人主页  🔥 个人专栏: 《C++干货基地》《粉丝福利》 ⛺️生活的理想,就是为了理想的生活! 引入 全文搜索属于最常见的需求,开源的 Elasticsearch (以下简称 Elastic)是目前全文搜索引擎的首选,相信大家多多少少的都听说过它。它可以快速地储存、搜索和分析海量数据。就连维基百科、Stack Overflow、

flask 中使用 装饰器

因为要完成毕业设计,我用到fountain code做数据恢复。 于是在github上下载了fountain code的python原代码。 github上的作者用flask做了fountain code的demo。 flask是面向python的一个网站框架。 里面有用到装饰器。 今天笔试的时候,我也被问到了python的装饰器。

mathematica的使用

因为做实验用到Bloom filter这一技术,Bloom filter里面的数学公式可以用来画图。 那么用什么画图软件比较好呢? 当然是Mathematica啦。 利用代码Plot[{y=x},{x,0,100}] 就可以画出比较好的图 简直nice