spinalhdl,vivado,fpga

2024-02-22 13:36
文章标签 fpga vivado spinalhdl

本文主要是介绍spinalhdl,vivado,fpga,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

https://spinalhdl.github.io/SpinalDoc-RTD/master

spinal hdl

sudo apt install openjdk-17-jdk scala curl
echo “deb https://repo.scala-sbt.org/scalasbt/debian all main” | sudo tee /etc/apt/sources.list.d/sbt.list
echo “deb https://repo.scala-sbt.org/scalasbt/debian /” | sudo tee /etc/apt/sources.list.d/sbt_old.list
curl -sL “https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823” | sudo apt-key add
sudo apt update
sudo apt install sbt

vscode 安装“Scala (Metals)”扩展,将官方的示例放到 vscode 里,然后等右下角弹框,选 sbt,下一个选 import build,等待完成,然后打开 hw/spinal/projectname/MyTopLevel.scala,“object MyTopLevelVerilog extends App”上面会出现“run | debug”,点击 run,就会在 hw/gen 下生成 .v 文件

生成波形文件和查看波形

sudo apt install zlib1g-dev
sudo apt install verilator gtkwave

这也会生成 .v 文件,在 tmp/job_1 里,如果测试代码完整可以直接走这步
打开 hw/spinal/projectname/MyTopLevelSim.scala,“object MyTopLevelSim extends App”上面也会出现“run | debug”,点击 run,就会在 simWorkspace/MyTopLevel 下生成 .fst 波形文件,可以使用 gtkwave simWorkspace/MyTopLevel/*.fst 直接打开查看波形

vivado

安装选项选择 vivado,vivado ml enterprise,其他默认,等待下载安装完成,默认安装到 /tools/Xilinx

如果无法使用 jtag 调试器,就需要升级 linux 内核,先 apt update & apt upgrade -y 升级一次,如果不行就使用测试通道。

测试通道:包名是 linux-image-amd64。使用“apt search linux-image-amd64”查看仓库里的版本,但是版本不一定够,可以修改“/etc/apt/sources.list”文件里的系统代号为“testing”并apt update,再apt install linux-image-amd64,然后重启,查看内核版本,弄好了就可以把“/etc/apt/sources.list”文件内容还原。

安装:

二选一
.bin 在线安装器直接安装chmod a+x ./Xilinx_Unified_2023.1_0507_1903_Lin64.binsudo ./Xilinx_Unified_2023.1_0507_1903_Lin64.bin.tar...,在线安装器下载压缩包解压安装tar -xvf Xilinx...tar...cd Xilinx...sudo ./xsetup

安装调试器 .rules file:

cd /tools/Xilinx/Vivado/2023.1/data/xicom/cable_drivers/lin64/install_script/install_drivers
sudo ./install_drivers

启动:
默认安装到 /tools/Xilinx/。由于是 sudo 安装,桌面图标在 /root/Desktop/ 下,需要 sudo cp /root/Desktop/* /usr/share/applications/
命令行启动:/tools/Xilinx/Vivado/2023.1/bin/vivado
或桌面图标启动

报错及问题

从命令行启动才能看到报错信息

报错/tools/Xilinx/Vivado/2023.1/bin/rdiArgs.sh: 行 37: 警告:setlocale: LC_ALL: 无法改变区域设置 (en_US.UTF-8):没有那个文件或目录.../bin/bash: warning: setlocale: LC_ALL: cannot change locale (en_US.UTF-8)...
解决方法sudo localedef -i en_US -f UTF-8 en_US.UTF-8报错或点击 vivado 图标无法启动application-specific initialization failed: couldn't load file "librdi_commontasks.so": libtinfo.so.5: cannot open shared object file: No such file or directory..
解决方法sudo ln /usr/lib/x86_64-linux-gnu/libtinfo.so.6 /usr/lib/x86_64-linux-gnu/libtinfo.so.5

一个 led 闪烁的示例(MyTopLevel.scala)

package projectnameimport spinal.core._// Hardware definition
case class MyTopLevel() extends Component {val io = new Bundle {val led = out Bool ()}val counter = Reg(UInt(32 bits))val led = Reg(Bool ())io.led := led// 每秒反转 led// reality 是 frequency_t 枚举类型里的值。仿真用低频 simulation 值,板卡测试用实际频率when(counter <= frequency_t.reality.asBits.asUInt) {counter := counter + 1} otherwise {counter := 0led := !led}
}// 自定义的枚举类型
object frequency_t extends SpinalEnum{val simulation, reality = newElement()defaultEncoding = SpinalEnumEncoding("staticEncoding")(simulation -> 10,reality -> 100000000 // 100M)
}object MyTopLevelVerilog extends App {Config.spinal.generateVerilog(MyTopLevel())
}

其生成的 veriloghdl(MyTopLevel.v)

// Generator : SpinalHDL v1.8.1    git head : 2a7592004363e5b40ec43e1f122ed8641cd8965b
// Component : MyTopLevel`timescale 1ns/1psmodule MyTopLevel (output              io_led,input               clk,input               reset
);localparam frequency_t_simulation = 27'd10;localparam frequency_t_reality = 27'd100000000;wire       [31:0]   _zz_when_MyTopLevel_l16;wire       [26:0]   _zz_when_MyTopLevel_l16_1;reg        [31:0]   counter;reg                 led;wire                when_MyTopLevel_l16;assign _zz_when_MyTopLevel_l16_1 = frequency_t_reality;assign _zz_when_MyTopLevel_l16 = {5'd0, _zz_when_MyTopLevel_l16_1};assign io_led = led;assign when_MyTopLevel_l16 = (counter <= _zz_when_MyTopLevel_l16);always @(posedge clk) beginif(when_MyTopLevel_l16) begincounter <= (counter + 32'h00000001);end else begincounter <= 32'h0;led <= (! led);endendendmodule

其仿真(MyTopLevelSim.scala)

led 只要输出,所以其他的去掉了

package projectnameimport spinal.core._
import spinal.core.sim._object MyTopLevelSim extends App {Config.sim.compile(MyTopLevel()).doSim { dut =>// Fork a process to generate the reset and the clock on the dutdut.clockDomain.forkStimulus(period = 10)for (idx <- 0 to 99) { // 仿真运行 100 个时钟// Wait a rising edge on the clockdut.clockDomain.waitRisingEdge()}}
}
​```

这篇关于spinalhdl,vivado,fpga的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/735412

相关文章

FPGA编译与部署方法全方位介绍

FPGA编译与部署是FPGA开发中的核心环节,涉及从代码编写、调试到将设计部署到FPGA硬件的全过程。这个流程需要经过创建项目、编写FPGA VI、模拟调试、编译生成比特流文件,最后将设计部署到硬件上运行。编译的特点在于并行执行能力、定制化硬件实现以及复杂的时钟管理。通过LabVIEW的FPGA模块和NI硬件,可以快速完成开发和部署,尤其适用于复杂控制与高性能数据处理系统。 1. FPG

FPGA开发:条件语句 × 循环语句

条件语句 if_else语句 if_else语句,用来判断是否满足所给定的条件,根据判断的结果(真或假)决定执行给出的两种操作之一。 if(表达式)语句; 例如: if(a>b) out1=int1; if(表达式)         语句1; else         语句2; 例如: if(a>b)out1=int1;elseout1=int2; if(表达式1) 语句1; els

FPGA开发:模块 × 实例化

模块的结构 对于C语言,其基本单元为函数。与此类似,Verilog的基本设计单元称之为"模块"(block)。对于整个项目的设计思想就是模块套模块。 一个模块由两个部分组成:一部分描述接口,一部分描述逻辑功能。 每个Verilog模块包含4个部分:端口定义、IO说明、内部信号声明、功能定义。且位于module和endmodule之间,如下: module block(a,b,c);inpu

LabVIEW环境中等待FPGA模块初始化完成

这个程序使用的是LabVIEW环境中的FPGA模块和I/O模块初始化功能,主要实现等待FAM(Field-Programmable Gate Array Module,FPGA模块)的初始化完成,并处理初始化过程中的错误。让我们逐步分析各部分的功能: 1. Wait for FAM Initialization框架 此程序框架用于等待I/O模块成功初始化。如果在5秒钟内模块没有完成配

FPGA随记——小说 可综合和不可综合

当然我在网络上找到了些可综合和不可综合的解释 感觉也很有参考价值: https://wenda.so.com/q/1378362174074040 综合就是把你写的rtl代码转换成对应的实际电路。 比如你写代码assign a=b&c; EDA综合工具就会去元件库里拿一个二输入与门出来,然后输入端分别接上b和c,输出端接上a 假如你写了很多这样的语句 assign a=b&c; assig

Xilinx系FPGA学习笔记(五)ROM的IP核学习

系列文章目录 文章目录 系列文章目录前言ROM IP分布式ROM生成ROM配置创建COE文件 块ROM生成如何快速生成Example Design 两种ROM对比 前言 最近在学习小梅哥的xilinx型FPGA开发板,一边学习一边记录,简化整理一下笔记 ROM IP 在 Memories &Storage Elements 下可以看到有两个与 ROM 相关的

基于FPGA的开源项目:FOC/SHA/USB/JPEG等

文章目录 [1. USB 1.1控制器](https://github.com/WangXuan95/FPGA-USB-Device)[2. FOC控制算法](https://github.com/WangXuan95/FPGA-FOC)[3. BSV高级硬件描述语言入门指南](https://github.com/WangXuan95/BSV_Tutorial_cn)[4. 基于XDMA的

LCD彩条显示——FPGA学习笔记10

部分素材来自原子哥 一、LCD简介         基本原理:在两块平行玻璃板中填充液晶材料,通过电场控制液晶分子旋转从而达到透光和遮光的目的。

SpinalHDL之数据类型(一)

本文作为SpinalHDL学习笔记第五十四篇,介绍SpinalHDL的Bool数据类型。 SpinalHDL技术交流QQ群: Note: 1.本群是个人技术交流群,不是什么官方答疑群; 2.提问是你的权利,但回答不是别人的义务; 3.可以潜水,不能灌水; 4.请文明交流,做这行的都算高层次人才,希望你有对应的高素质; 5.不强制改名,但希望统一格式:姓名(昵称也行)-公司/学校-

LabVIEW开发FPGA方法与FIFO数据丢失处理

开发基于NI 7975R FPGA的系统涉及一系列流程,包括驱动安装、LabVIEW项目设置、开发调试、编译和与Windows系统的通信。重点在于FIFO的正确配置,避免数据丢失是关键环节之一,尤其是在使用高速数据流传输时。以下将详细介绍这些过程,并重点讨论FIFO数据丢失的原因与解决方案。 FPGA开发流程 驱动安装与工具准备:开发FPGA需要安装LabVIEW FPGA模块以及N