chisel入门初步1——基4的booth编码的单周期有符号乘法器实现

2024-01-18 13:52

本文主要是介绍chisel入门初步1——基4的booth编码的单周期有符号乘法器实现,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

基4的booth编码乘法器原理说明

基2的booth编码

本质来说就是一个裂项重组,乘法器最重要的设计是改变部分积的数量,另外在考虑有符号数的情况下,最高位符号位有特别的意义。
(注:部分积是指需要最后一起加和的所有部分乘积的项)
下面直接套用其他人图片进行展示,来源如下
https://blog.csdn.net/weixin_42330305/article/details/122868294
在这里插入图片描述
其中 B − 1 = 0 B_{-1}=0 B1=0
可以看到,基2的booth编码并没有改变部分积的数量,在实际运行时,基2的booth编码在某些情况下无法提速,甚至可能降速,所以引入基4的booth编码

基4的booth编码

为应对上面问题,这里引入基4的booth编码,将部分积的数量缩小了一半,大大加快了处理速度,具体如下
图片来源与上面相同
在这里插入图片描述
所以
在这里插入图片描述
其中 B − 1 = 0 B_{-1}=0 B1=0
在实际处理时,为保证形式统一且数组访问时不越界,可以这样处理

b = {B, 0};

通过在末尾拼接一个0,整个式子可以写成下述形式
A ⋅ B = ∑ i = 0 n 2 − 1 ( − 2 ⋅ b 2 i + 2 + b 2 i + 1 + b 2 i ) ⋅ 2 2 i ⋅ A A\cdot B=\sum_{i=0}^{\frac{n}{2}-1} (-2 \cdot b_{2i+2} + b_{2i+1} + b_{2i})\cdot2^{2i}\cdot A AB=i=02n1(2b2i+2+b2i+1+b2i)22iA
可以看出,部分积的数量减少到原来的一半,乘法器速度大大加快

基4的booth编码的单周期有符号乘法器Verilog实现

直接丢出Verilog代码


/*
* 基4的booth编码的单周期有符号乘法器
*/module booth_multiplier_base4 #(parameter DATA_WIDTH = 8       // 数据位宽应该为2的指数
)(  input [DATA_WIDTH-1 : 0] a,  input [DATA_WIDTH-1 : 0] b,  output reg [2*DATA_WIDTH-1 : 0] product,input clk
);  integer i;  reg [2:0] booth_bits [DATA_WIDTH/2-1:0];  reg [DATA_WIDTH:0] b_extended;reg [2*DATA_WIDTH:0] partial_product [DATA_WIDTH/2-1:0];  reg [2*DATA_WIDTH-1:0] a_pos, a_neg, a_extend; always @(posedge clk) begin  b_extended = {b, 1'b0}; // 这里我补了个0,防止索引超出界限a_extend = {{DATA_WIDTH{a[DATA_WIDTH-1]}}, a};    // 符号位扩展 ,之前忘记扩展找了好久a_pos = a_extend;a_neg = ~a_extend + 1'b1;  // 补码运算product = 0;for (i = 0; i < DATA_WIDTH/2; i = i + 1) begin  booth_bits[i] = {b_extended[2*i+2], b_extended[2*i+1], b_extended[2*i]};  case (booth_bits[i])/*$\sum_{i=0}^{\frac{n}{2}-1} (-2 \cdot b_{2i+2} + b_{2i+1} + b_{2i})$  // LaTex{ b(2i+2), b(2i+1), b(2i) } :=000:    0;001:    1;010:    1;011:    2;100:    -2;101:    -1;110:    -1;111:    0;*/  3'b000, 3'b111: partial_product[i] = 9'd0;  3'b001, 3'b010: partial_product[i] = a_pos;3'b011:         partial_product[i] = a_pos << 1;3'b100:         partial_product[i] = a_neg << 1;3'b101, 3'b110: partial_product[i] = a_neg; endcase  endfor (i = 0; i < (DATA_WIDTH/2-1); i = i + 1) beginproduct = product + (partial_product[i] << (2*i)); // Shift and accumulateendend  endmodule

testbench如下

`timescale 1ns/1nsmodule sim_booth_multiplier_base4 ();parameter DATA_WIDTH = 8;    reg [DATA_WIDTH-1:0] a;
reg [DATA_WIDTH-1:0] b;
wire [2*DATA_WIDTH-1:0] product;
reg [2*DATA_WIDTH-1:0] expected_product;
reg test_passed;
reg clk;booth_multiplier_base4 #(.DATA_WIDTH(DATA_WIDTH)) booth_multiplier_base4_0
(.a(a),.b(b),.product(product),.clk(clk)
);initial begin  // 初始化  $display("Time, a, b, Expected Product, Actual Product, Test Result\n");  clk = 0;// 第一个样例  a <= 8'b01111111; // 127  b <= 8'b00000010; // 2  expected_product <= 16'd254; // 254  #10; // 等待一些时间以便观察波形  test_passed = (product == expected_product) ? 1 : 0;  $display("%d, %b, %b, %b, %b, %s\n", $time, a, b, expected_product, product, (test_passed ? "PASSED" : "FAILED"));  // 第二个样例 (注意:在实际八位乘法中这是不可能的,因为会溢出)  // 我们可以故意让它失败,或者用一个能够处理溢出的乘法器  a <= 8'b10000000; // -128 (补码表示)  b <= 8'b10000000; // -128 (补码表示)  // 由于这个乘法实际上会溢出,所以设置expected_product为一个不可能的值  expected_product <= 16'bx0000000000000000; // 'x'表示不关心这些位  #10;  // 这里我们检查乘法器是否设置了溢出标志位(如果有的话),或者检查最高位是否设置正确  // 由于我们没有具体的乘法器实现细节,这里只能做一个假设性的检查  // 假设乘法器在溢出时将最高位设置为1  test_passed = (product == expected_product) ? 1 : 0;  $display("%d, %b, %b, %b, %b, %s (Overflow Expected)\n", $time, a, b, expected_product, product, test_passed ? "PASSED" : "FAILED");  // 第三个样例  a <= 8'b11111111; // -1  b <= 8'b11111111; // -1  expected_product <= 16'b0000000000000001; // 1  #10;  test_passed = (product == expected_product) ? 1 : 0;  $display("%d, %b, %b, %b, %b, %s\n", $time, a, b, expected_product, product, test_passed ? "PASSED" : "FAILED");  // 结束仿真  $finish;  
end  always begin#2;clk = ~clk;
end
endmodule

代码不详细讲了,应该还比较清楚,没有进行性能的优化,仅完成功能的实现

基4的booth编码单周期有符号乘法器chisel实现

和Verilog代码逻辑相同,这里直接撇出来
模块代码

import chisel3._  
import chisel3.util._  class BoothMultiplierBase4(val DATA_WIDTH: Int = 8) extends Module {  val io = IO(new Bundle {  val a = Input(SInt(DATA_WIDTH.W))  // Signed input a  val b = Input(SInt(DATA_WIDTH.W))  // Signed input b  val product = Output(SInt((2 * DATA_WIDTH).W)) // Signed output product  })  val booth_bits = Wire(Vec((DATA_WIDTH / 2), UInt(3.W)))  val partial_products = RegInit(VecInit(Seq.fill(DATA_WIDTH / 2)(0.S((2 * DATA_WIDTH).W))))  // On every positive edge of the clock  val b_extended = io.b << 1.U // Sign-extend b with an extra 0  val a_neg = -io.a                    // Negation of a  val a_pos = io.a                     // Positive of aval regProduct = RegInit(0.S((2 * DATA_WIDTH).W))// Calculate Booth bits  for (i <- 0 until DATA_WIDTH / 2) {  booth_bits(i) := Cat(b_extended(2*i+2), b_extended(2*i+1), b_extended(2*i)) // Calculate partial products based on Booth encoding  partial_products(i) := MuxCase(0.S, Array(  (booth_bits(i) === 0.U || booth_bits(i) === 7.U) -> 0.S,  (booth_bits(i) === 1.U || booth_bits(i) === 2.U)  -> a_pos,  (booth_bits(i) === 3.U) -> (a_pos << 1.U),  (booth_bits(i) === 4.U) -> (a_neg << 1.U),                 // 此处自动进行符号位的扩展,下同(booth_bits(i) === 5.U || booth_bits(i) === 6.U) -> a_neg  ))  }  // Accumulate partial products to form the final product  io.product := partial_products.zipWithIndex.map{case (pp, i) => pp << ((2*i).U)}.reduce(_+_)}  /* An object extending App to generate the Verilog code*/
object BoothMultiplierBase4 extends App {(new chisel3.stage.ChiselStage).emitVerilog(new BoothMultiplierBase4(), Array("--target-dir", "./verilog/BoothMultiplier"))
}

测试代码

import org.scalatest.flatspec.AnyFlatSpec  
import scala.util.Random  
import chisel3._  
import chisel3.tester._  // Booth乘法器的测试类  class BoothMultiplierBase4Test extends AnyFlatSpec with ChiselScalatestTester {  behavior of "BoothMultiplierBase4"  it should "multiply signed numbers correctly" in {  for (i <- 0 until 10) {  val a = Random.nextInt(256) - 128 // 生成-128到127之间的随机数  val b = Random.nextInt(256) - 128  test(new BoothMultiplierBase4) { c =>  c.io.a.poke(a.S) // 将随机数a作为有符号数输入  c.io.b.poke(b.S) // 将随机数b作为有符号数输入  c.clock.step(2) // 时钟前进一步以执行乘法  val expectedProduct = a.toLong * b.toLong // 计算预期乘积  val actualProduct = c.io.product.peek().litValue.toLong // 获取实际乘积  /* c: 这是测试环境中BoothMultiplierBase4模块的实例。c.io.product: 这是指向模块输出端口product的引用。peek(): 这是一个Chisel测试方法,用于在不推进时钟的情况下读取端口的当前值。litValue: 这是一个方法,用于从Chisel的Data类型中提取实际的Scala值(在这个例子中是BigInt) */println(s"Iteration: $i, A: $a, B: $b, Expected Product: $expectedProduct, Actual Product: $actualProduct")  assert(actualProduct === expectedProduct, s"Product is incorrect at iteration $i! Expected: $expectedProduct, Actual: $actualProduct")  }  }  }  
}

测试结果

Iteration: 0, A: -70, B: 110, Expected Product: -7700, Actual Product: -7700
o		# 不知道这个o是哪里来的。。。
Iteration: 1, A: 105, B: 104, Expected Product: 10920, Actual Product: 10920
Iteration: 2, A: 69, B: -90, Expected Product: -6210, Actual Product: -6210
Iteration: 3, A: 62, B: -64, Expected Product: -3968, Actual Product: -3968
Iteration: 4, A: -34, B: -104, Expected Product: 3536, Actual Product: 3536
Iteration: 5, A: -49, B: 103, Expected Product: -5047, Actual Product: -5047
Iteration: 6, A: 57, B: 56, Expected Product: 3192, Actual Product: 3192
Iteration: 7, A: 32, B: 22, Expected Product: 704, Actual Product: 704
Iteration: 8, A: -51, B: -101, Expected Product: 5151, Actual Product: 5151
Iteration: 9, A: -94, B: 54, Expected Product: -5076, Actual Product: -5076

这篇关于chisel入门初步1——基4的booth编码的单周期有符号乘法器实现的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/619202

相关文章

Spring Security 从入门到进阶系列教程

Spring Security 入门系列 《保护 Web 应用的安全》 《Spring-Security-入门(一):登录与退出》 《Spring-Security-入门(二):基于数据库验证》 《Spring-Security-入门(三):密码加密》 《Spring-Security-入门(四):自定义-Filter》 《Spring-Security-入门(五):在 Sprin

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo

C#实战|大乐透选号器[6]:实现实时显示已选择的红蓝球数量

哈喽,你好啊,我是雷工。 关于大乐透选号器在前面已经记录了5篇笔记,这是第6篇; 接下来实现实时显示当前选中红球数量,蓝球数量; 以下为练习笔记。 01 效果演示 当选择和取消选择红球或蓝球时,在对应的位置显示实时已选择的红球、蓝球的数量; 02 标签名称 分别设置Label标签名称为:lblRedCount、lblBlueCount

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略 1. 特权模式限制2. 宿主机资源隔离3. 用户和组管理4. 权限提升控制5. SELinux配置 💖The Begin💖点点关注,收藏不迷路💖 Kubernetes的PodSecurityPolicy(PSP)是一个关键的安全特性,它在Pod创建之前实施安全策略,确保P

数论入门整理(updating)

一、gcd lcm 基础中的基础,一般用来处理计算第一步什么的,分数化简之类。 LL gcd(LL a, LL b) { return b ? gcd(b, a % b) : a; } <pre name="code" class="cpp">LL lcm(LL a, LL b){LL c = gcd(a, b);return a / c * b;} 例题:

Java 创建图形用户界面(GUI)入门指南(Swing库 JFrame 类)概述

概述 基本概念 Java Swing 的架构 Java Swing 是一个为 Java 设计的 GUI 工具包,是 JAVA 基础类的一部分,基于 Java AWT 构建,提供了一系列轻量级、可定制的图形用户界面(GUI)组件。 与 AWT 相比,Swing 提供了许多比 AWT 更好的屏幕显示元素,更加灵活和可定制,具有更好的跨平台性能。 组件和容器 Java Swing 提供了许多