VHDL有限状态机(单进程,双进程)交通灯示例

2024-01-13 08:08

本文主要是介绍VHDL有限状态机(单进程,双进程)交通灯示例,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

Finite State Machine有限状态机

它的逻辑流程图是:

注意!“当前状态”和“下一状态”的位置,我们将在下文的交通灯示例中理解。

设计要求:

--我们要设计一个十字路口的交通灯,就两个按钮,东西方向一个(sensor2),南北方向一个(sensor)。流程就是,东西方向为红灯,南北在走人,
--这时候东西方向的人想过马路,按下sensor2,然后红变绿,东西走,南北停。然后南北的人想过马路,按下sensor,灯就由绿变黄再变红。
--整体上这个逻辑不是很严谨,放在这里只是举个例子。

下图为状态流程图:

我把注释写在代码中了,请参悟下文的代码。本实验用的是ModelSim软件,VHDL语言。他一般有两个文件,一个是硬件配置文件(df.vhd)用来写你设计的硬件的结构的。第二个是硬件测试文件(testbench,也就是tb.vhd),是用来设置仿真参数,用来仿真的。补充:因为本实验只用了状态机,所以就是一个硬件配置文件。但是,在往后的综合实验中,我们会用状态机与寄存器等其他硬件综合使用,所以要单独把状态机拿出来进行配置(df_state.vhd),这个就相当于库函数,然后在主函数(df.vhd)中再多写一行:

USE work.state_machine_package.all

进行调用,所以,它相当于三个文件了。

就是写上文的下行即可。

不多墨迹了,见代码(df.vhd)

--我们要设计一个十字路口的交通灯,就两个按钮,东西方向一个(sensor2),南北方向一个(sensor)。流程就是,东西方向为红灯,南北在走人,
--这时候东西方向的人想过马路,按下sensor2,然后红变绿,东西走,南北停。然后南北的人想过马路,按下sensor,灯就由绿变黄再变红。
--整体上这个逻辑不是很严谨,放在这里只是举个例子。-- single Process FSM 单进程的有限状态机
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;Entity Traffic_light isport(sensor : in std_logic;sensor2: in std_logic;rst	: in std_logic;clk	: in std_logic;red_light: out std_logic;green_light: out std_logic;yellow_light : out std_logic);
end entity;Architecture one_process of  Traffic_light is		--结构设定,one_process是本process的名字,一般情况下,状态机我们用一个process就够了type statetype is (red,green,yellow)           --type 是状态(圆圈),有多少个圆圈,括号里就写多少个名字;它们三个的功能就像HIGH/LOW一样,表示状态signal state : statetype;						--这里只设置了一个叫“state”的信号,它既不是输入也不是输出,表示的只是当前信号的状态begin
-- synchronous resetprocess(clk,rst)beginif rising_edge (clk) then    --CLK 上升沿触发red_light <= '1';green_light <= '0';     --这是初始设置,红灯状态yellow_light <= '0';if rst= '0' thenstate <= red;   	--如果RST复位键没有触发,那么就把红灯状态给当前状态;也可以理解为保存红灯亮elsecase state is			--注意!!!state状态设定这里只能用case,不能用ifwhen red =>			--若为状态红灯red_light <= '1';yellow_light <= '0';green_light <= '0';if sensor = '1' then		--如果按钮sensor被按下(1),那么state <= green;			--将绿灯亮的状态给”当前状态“green_light <= '1';     --绿灯亮,红灯灭red_light <= '0';elsestate <= red;			--否则的话,红灯给“当前状态”,也就是保持的意思end if;						--不要忘了end ifwhen green => 		--若状态为绿灯if sensor2 = '1' then			--如果按钮2,sensor2被按下,那么state <= yellow;			--把黄灯亮的状态给“当前状态”yellow_light <= '1';		--黄灯亮,绿灯灭green_light <= '0';else 							--否则保持绿灯亮state <= green;end if;when yellow =>		--若状态为黄灯state <= red;				--因为黄灯的下一步肯定是变红灯,所以在这就把红灯状态给“当前状态”red_light <= '1';			--红灯亮,黄灯灭yellow_light <= '0';end case;end if;end if;end process;
end one_process;

差不多懂了吧?上文的测试代码我就不写了,有兴趣的同学可以自己测试。

下面是双进程结构代码,还是以上文相同的状态逻辑流程图为例。


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;entity Traffic_light is
end entity;Architecture dual_process_B of  Traffic_light is		type statetype is (red,green,yellow)           signal current_state, next_state : statetype; --请看我画的流程图,在这我定义两个状态(当前状态,下一状态)begindecoding: process(current_state, sensor)beginred_light <= '1'; --开始是红灯亮green_light <= '0';yellow_light <= '0';case current_state is --当前状态设置when red =>					--若为红灯状态,若检测到开关1按下,则把“绿灯亮”给下一状态if sensor = '1' thennext_state <= green;end if;								--若没按开关,那就保持红灯red_light <= '1';	when green =>				--若为绿灯状态,把黄灯给下一状态,并点亮绿灯next_state <= yellow;		--(逻辑不严谨,为了简单的举例才这么写的,具体流程图请见上文的手画的状态逻辑流程图)green_light <= '1';when yellow =>			--若为黄灯状态,下一状态为红灯,且黄灯点亮next_state <= red;yellow_light <= '1';end case;end process;state_register: process(clk,rst)--设置时钟进程beginif rising_edge (clk) then		--上升沿触发if rst = '1' thencurrent_state <= red;		--等复位键置1,就红灯亮(开始仿真)elsecurrent_state <= next_state;	--否则就继续循环等待end if;end if;end process;end dual_process_B;

这是我的课堂笔记,题目是老师随便的,他说逻辑有错的,我改对了。放到这就是加深理解的,学VHDL就应该多悟,多写,抄我代码没有任何意义,随便抄,您开心就好。

这篇关于VHDL有限状态机(单进程,双进程)交通灯示例的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/600765

相关文章

[Linux]:进程(下)

✨✨ 欢迎大家来到贝蒂大讲堂✨✨ 🎈🎈养成好习惯,先赞后看哦~🎈🎈 所属专栏:Linux学习 贝蒂的主页:Betty’s blog 1. 进程终止 1.1 进程退出的场景 进程退出只有以下三种情况: 代码运行完毕,结果正确。代码运行完毕,结果不正确。代码异常终止(进程崩溃)。 1.2 进程退出码 在编程中,我们通常认为main函数是代码的入口,但实际上它只是用户级

zeroclipboard 粘贴板的应用示例, 兼容 Chrome、IE等多浏览器

zeroclipboard单个复制按钮和多个复制按钮的实现方法 最近网站改版想让复制代码功能在多个浏览器上都可以实现,最近看网上不少说我们的代码复制功能不好用的,我们最近将会增加代码高亮等功能,希望大家多多支持我们 zeroclipboard是一个跨浏览器的库类 它利用 Flash 进行复制,所以只要浏览器装有 Flash 就可以运行,而且比 IE 的

java 进程 返回值

实现 Callable 接口 与 Runnable 相比,Callable 可以有返回值,返回值通过 FutureTask 进行封装。 public class MyCallable implements Callable<Integer> {public Integer call() {return 123;}} public static void main(String[] args

基于SpringBoot的宠物服务系统+uniapp小程序+LW参考示例

系列文章目录 1.基于SSM的洗衣房管理系统+原生微信小程序+LW参考示例 2.基于SpringBoot的宠物摄影网站管理系统+LW参考示例 3.基于SpringBoot+Vue的企业人事管理系统+LW参考示例 4.基于SSM的高校实验室管理系统+LW参考示例 5.基于SpringBoot的二手数码回收系统+原生微信小程序+LW参考示例 6.基于SSM的民宿预订管理系统+LW参考示例 7.基于

C#关闭指定时间段的Excel进程的方法

private DateTime beforeTime;            //Excel启动之前时间          private DateTime afterTime;               //Excel启动之后时间          //举例          beforeTime = DateTime.Now;          Excel.Applicat

linux中使用rust语言在不同进程之间通信

第一种:使用mmap映射相同文件 fn main() {let pid = std::process::id();println!(

Spring Roo 实站( 一 )部署安装 第一个示例程序

转自:http://blog.csdn.net/jun55xiu/article/details/9380213 一:安装 注:可以参与官网spring-roo: static.springsource.org/spring-roo/reference/html/intro.html#intro-exploring-sampleROO_OPTS http://stati

Golang进程权限调度包runtime

关于 runtime 包几个方法: Gosched:让当前线程让出 cpu 以让其它线程运行,它不会挂起当前线程,因此当前线程未来会继续执行GOMAXPROCS:设置最大的可同时使用的 CPU 核数Goexit:退出当前 goroutine(但是defer语句会照常执行)NumGoroutine:返回正在执行和排队的任务总数GOOS:目标操作系统NumCPU:返回当前系统的 CPU 核数量 p

Java http请求示例

使用HttpURLConnection public static String httpGet(String host) {HttpURLConnection connection = null;try {URL url = new URL(host);connection = (HttpURLConnection) url.openConnection();connection.setReq

如何保证android程序进程不到万不得已的情况下,不会被结束

最近,做一个调用系统自带相机的那么一个功能,遇到的坑,在此记录一下。 设备:红米note4 问题起因 因为自定义的相机,很难满足客户的所有需要,比如:自拍杆的支持,优化方面等等。这些方面自定义的相机都不比系统自带的好,因为有些系统都是商家定制的,难免会出现一个奇葩的问题。比如:你在这款手机上运行,无任何问题,然而你换一款手机后,问题就出现了。 比如:小米的红米系列,你启用系统自带拍照功能后