本文主要是介绍VHDL具有同步清零和并行输出功能的10进制加法计数器,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!
(1)、设计一个具有同步清零和并行输出功能的10进制加法计数器,实体名称为“counter10”,其引脚名称和逻辑功能如下表所示。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;entity ff isport( clk,clr : in std_logic;q : buffer std_logic_vector(3 downto 0);co : out std_logic );
end entity ff;
architecture rtl of ff is
beginprocess (clk)beginif (clk'event) and (clk='1') thenif clr = '0' thenq <= "0000"; co <= '0';elseif q>= "1001" thenq <= "0000"; co <= '1';elseq <= q + '1'; co <= '0';end if;end if;end if;end process;
end rtl;
这篇关于VHDL具有同步清零和并行输出功能的10进制加法计数器的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!