270-VC709E 增强版 基于FMC接口的Xilinx Vertex-7 FPGA V7 XC7VX690T PCIeX8 接口卡

本文主要是介绍270-VC709E 增强版 基于FMC接口的Xilinx Vertex-7 FPGA V7 XC7VX690T PCIeX8 接口卡,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

VC709E 增强版 基于FMC接口的Xilinx Vertex-7 FPGA V7 XC7VX690T PCIeX8 接口卡

 

一、板卡概述 
      本板卡基于Xilinx公司的FPGA XC7VX690T-FFG1761I 芯片,支持PCIeX8、64bit DDR3容量2GByte,HPC的FMC连接器,板卡支持各种接口输入,软件支持windows。 

二、功能和技术指标: 
    1、标准PCI-E接口,支持PCI-E 8x,支持PCI-E 3.0。
    2、标准FMC-HPC接口,VADJ电平为1.8V。
    3、前面板引出1路SFP+光模块,最高设计速度10Gbps。
    4、板卡后面引出2路SFP+光模块,最高设计速度10Gbps。
    5、标准JTAG接口。
    6、支持两组64bit,2GB的DDR3。
    7、主频1GHz,支持1.2GHz
    8、持BPI模式快速加载。
    9、有器件支持商业级,工业级。 
三、接口测试软件: 
    1、 DDR3 IP测试,PCIe IP测试;
    2、万兆光纤网络开发
    3、 FMC上接高速ADC,DAC子卡,并可提供演示程序。 
四、基于Virtex7的 PCI-E 3.0 万兆以太网产品 IP开发 
    本板卡 基于Virtex7 XC7V690T-1FFG1761I,设计的PCIe 底板,板卡特点如下:
    1、标准PCI-E接口,支持PCI-E 8x,支持PCI-E 3.0。
    2、标准FMC-HPC接口,VADJ电平为1.8V。
    3、前面板引出1路SFP+光模块,最高设计速度10Gbps。 
    4、板卡后面引出2路SFP+光模块,最高设计速度10Gbps。
    5、支持两组64bit,2GB的DDR3。
    6、标准JTAG接口。
    7、支持BPI模式快速加载。 
基于赛灵思的V7 的FPGA开发的PCIe DMA IP

 

  1. 支持8.0Gbps(Gen3)at x8,x4,x2和x1的硬核,包括Kintex-Ultrascale和Virtex-7 GTH类型的FPGA
  2. 支持5.0Gbps(Gen2)at x8,x4,x2和x1的硬核,包括Kintex-Ultrascale,Virtex-7 GTH,Virtex-7 GTX,Kintex-7 GTX和Zynq-7045类型的FPGA
  3. 支持5.0Gbps(Gen2)at x4,x2和x1的硬核,包括Artix-7和Zynq-7030类型的FPGA
  4. 兼容PCIE3.0协议;最大有效载荷256 Byte;支持MSI和INT消息

AXI接口:

  1. 可以通过AXI4-Lite Slave接口来进行桥配置
  2. 可以通过AXI4-Lite Master接口来进行外部寄存器配置
  3. 最多支持4个AXI4 Master接口
  4. 最多支持4个AXI4 Slave接口
  5. 最多支持4个AXI4 Stream input 和Stream output接口
  6. AXI4 Master,Slave和Stream 接口支持64bit,128bit和256bit的数据
  7. AXI4 Master和Slave接口可配置成AXI3接口

配置:

  1. 通过PCIE和(或)AXI4-Lite Slave接口可操作桥配置空间
  2. 具有4KBytes的桥内部寄存器
  3. 具有4KBytes的PCIe配置空间
  4. 8KBytes用户定义的外部寄存器空间

DMA引擎:

  1. 支持最多8个完全独立的DMA引擎
  2. 支持最多4GBytes或无限长的传输长度
  3. 支持最多16个outstanding读请求和写请求
  4. 支持Completion重排序
  5. 可重配置源和目的,能在PCIe接口,AXI4 Master接口和Stream input和output接口之间进行转变
  6. 灵活的Scatter-Gather DMA模式,包括每个描述符可动态DMA控制
  7. 可选的DMA状态报告给描述符,方便软件管理设计
  8. 提供离散DMA功能、直接DMA功能和DMA通道管理

赛灵思V7 系列的FPGA开发的TCPIP 的IP core
  特点:
    1.支持多种的10G MAC
    2.每个tcp硬核堆栈支持8个逻辑接口。每个接口可以有唯一的IP,物理地址,VLAN ID,网关,子网掩码。
    3.支持9K字节超长包
    4.支持VLANs
    5.内嵌ARP
    6.用XGMII高速接口连接10G PMA-PCS PHY
    7.一个工作在156.25MHz的64bit的axi4—stream接口让用户调试使用
    8.可通过一个32bit的axi4-Lite从机协议去配置ip核 
    9.用户接口为工作在156.25MHz的128bit的axi4-stream 
    10.多达128个并发客户机或服务器的TCP会话模式。每个会话都可以连接到任何逻辑接口 
    11.为每个会话打开特定的流控功能 
    12.用户可配置的TCP选项:MSS,时间戳, VLAN PCP , VLAN DEI 
    13.如果数据包的长度大于从用户应用程序接口远程配置(MSS)中的值则负载自动分割。 
    14.可配置TCP重操作记忆:宽度、深度、内部或外部

      本设计方案 支持硬件板卡销售、IP销售 两种模式。也可以委托设计成品。 另外IP除了支持Virtex7系列,也可以支持更高等级芯片的开发 如Ultrascale系列。

五 应用领域
      软件无线电处理平台
    图形图像硬件加速器
      Net FPGA

转载于:https://www.cnblogs.com/orihard/p/5264840.html

这篇关于270-VC709E 增强版 基于FMC接口的Xilinx Vertex-7 FPGA V7 XC7VX690T PCIeX8 接口卡的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/282791

相关文章

Java 后端接口入参 - 联合前端VUE 使用AES完成入参出参加密解密

加密效果: 解密后的数据就是正常数据: 后端:使用的是spring-cloud框架,在gateway模块进行操作 <dependency><groupId>com.google.guava</groupId><artifactId>guava</artifactId><version>30.0-jre</version></dependency> 编写一个AES加密

java线程深度解析(一)——java new 接口?匿名内部类给你答案

http://blog.csdn.net/daybreak1209/article/details/51305477 一、内部类 1、内部类初识 一般,一个类里主要包含类的方法和属性,但在Java中还提出在类中继续定义类(内部类)的概念。 内部类的定义:类的内部定义类 先来看一个实例 [html]  view plain copy pu

模拟实现vector中的常见接口

insert void insert(iterator pos, const T& x){if (_finish == _endofstorage){int n = pos - _start;size_t newcapacity = capacity() == 0 ? 2 : capacity() * 2;reserve(newcapacity);pos = _start + n;//防止迭代

京东物流查询|开发者调用API接口实现

快递聚合查询的优势 1、高效整合多种快递信息。2、实时动态更新。3、自动化管理流程。 聚合国内外1500家快递公司的物流信息查询服务,使用API接口查询京东物流的便捷步骤,首先选择专业的数据平台的快递API接口:物流快递查询API接口-单号查询API - 探数数据 以下示例是参考的示例代码: import requestsurl = "http://api.tanshuapi.com/a

股票数据接口-陈科肇

陈科肇 新浪财经 sz-深圳sh-上海历史分价表:http://market.finance.sina.com.cn/pricehis.php?symbol=sz000506&startdate=2016-12-27&enddate=2016-12-27历史成交明细(当日成交明细):http://vip.stock.finance.sina.com.cn/quotes_service/v

实例demo理解面向接口思想

浅显的理解面向接口编程 Android开发的语言是java,至少目前是,所以理解面向接口的思想是有必要的。下面通过一个简单的例子来理解。具体的概括我也不知道怎么说。 例子: 现在我们要开发一个应用,模拟移动存储设备的读写,即计算机与U盘、MP3、移动硬盘等设备进行数据交换。已知要实现U盘、MP3播放器、移动硬盘三种移动存储设备,要求计算机能同这三种设备进行数据交换,并且以后可能会有新的第三方的

FPGA编译与部署方法全方位介绍

FPGA编译与部署是FPGA开发中的核心环节,涉及从代码编写、调试到将设计部署到FPGA硬件的全过程。这个流程需要经过创建项目、编写FPGA VI、模拟调试、编译生成比特流文件,最后将设计部署到硬件上运行。编译的特点在于并行执行能力、定制化硬件实现以及复杂的时钟管理。通过LabVIEW的FPGA模块和NI硬件,可以快速完成开发和部署,尤其适用于复杂控制与高性能数据处理系统。 1. FPG

FPGA开发:条件语句 × 循环语句

条件语句 if_else语句 if_else语句,用来判断是否满足所给定的条件,根据判断的结果(真或假)决定执行给出的两种操作之一。 if(表达式)语句; 例如: if(a>b) out1=int1; if(表达式)         语句1; else         语句2; 例如: if(a>b)out1=int1;elseout1=int2; if(表达式1) 语句1; els

对接话费充值API接口的开发步骤以及各种优势

对接话费充值API接口通常涉及以下步骤: 1.选择API提供商: 研究并选择一个可靠的话费充值API提供商。考虑因素包括覆盖范围、费率、交易限额、客户支持和用户评价。 2.注册和获取API密钥: 在选定的API提供商平台上注册账户,并获取API密钥或访问令牌,这是调用API时进行身份验证的必要信息。 3.阅读API文档: 仔细阅读API文档,了解如何构建请求、需要哪些参数、API的

java类中定义接口的有哪些好处

第一步:首先是是定义一个类,同时里面定义接口 public class Util { public interface Worker { void work(int a); } } 第二步:定义一个类去实现第一步类中定义的接口 public class Demo implements Worker { @Override public void work(int a) { System