FIFO Generate IP核使用——AXI接口FIFO简介

2024-05-04 11:28

本文主要是介绍FIFO Generate IP核使用——AXI接口FIFO简介,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

AXI接口FIFO是从Native接口FIFO派生而来的。AXI内存映射接口提供了三种样式:AXI4、AXI3和AXI4-Lite。除了Native接口FIFO支持的应用外,AXI FIFO还可以用于AXI系统总线和点对点高速应用。

AXI接口FIFO不支持Builtin FIFO和 Shift Register FIFO配置。

当需要连接到其他AXI功能时,可以在Native接口FIFO支持的相同应用中使用AXI FIFO。AXI FIFO可以通过IP集成器集成到系统中。

1 AXI FIFO的功能

AXI FIFO的功能概述主要体现在其对AXI Memory Mapped接口中读写通道的便捷集成能力上。

1.1 读写通道的分离

对于AXI Memory Mapped接口,AXI规范定义了写通道和读通道。
写通道包括写地址通道、写数据通道和写响应通道。
读通道包括读地址通道和读数据通道。

FIFO Generate IP核提供了为AXI Memory Mapped生成单独的写通道或读通道,或者同时生成写通道和读通道的能力。如下图所示。
在这里插入图片描述
对于写通道,集成了三个FIFO:一个用于写地址通道,一个用于写数据通道,以及一个用于写响应通道。
对于读通道,集成了两个FIFO:一个用于读地址通道,一个用于读数据通道。
当同时选择写通道和读通道时,FIFO Generate IP核将集成五个独立的FIFO。

1.2 每个通道的独立配置

对于每个通道,FIFO Generate IP核都可以独立配置以生成基于Block RAM或Distributed RAM FIFO。每个FIFO的深度也可以独立配置,以满足特定应用的数据缓存需求。如下图所示。
在这里插入图片描述
AXI FIFO的使用有助于简化高速数据传输和处理的系统设计,因为它们能够与AXI总线标准无缝集成,提供高吞吐量和低延迟的数据传输能力。这使得AXI FIFO成为高性能计算、图像处理、视频传输等领域的理想选择。

2 AXI FIFO的时序

AXI接口协议使用双向的有效(Valid)和就绪(Ready)握手机制。信息源使用有效信号来表示通道上何时有有效的数据或控制信息可用。信息目标使用就绪信号来表示何时可以接受数据。图1-3展示了AXI4-Stream FIFO的写和读操作的示例时序图,而图1-4则展示了AXI Memory Mapped 接口FIFO的写和读操作的示例时序图。
在这里插入图片描述
在这里插入图片描述
在图1-3和图1-4中,信息源生成有效信号(valid)以指示数据何时可用。目标生成就绪信号(ready)以指示它可以接受数据,并且只有当有效信号和就绪信号都为高时,才会发生数据传输。

对于AXI4-Stream FIFO,写操作和读操作通常涉及连续的数据流传输。在图1-3中,看到写操作和读操作的时序图,显示了有效信号和就绪信号如何协同工作以完成数据传输。

而对于AXI Memory Mapped接口FIFO,写操作和读操作通常与特定的内存地址相关联。在图1-4中,看到写地址通道、写数据通道、写响应通道以及读地址通道和读数据通道的时序图。这些通道协同工作,通过有效和就绪信号的握手机制,确保数据在源和目标之间的正确传输。

由于AXI FIFO是从Native接口FIFO派生而来的,因此它们之间的很多行为是相似的。就绪信号是基于FIFO中可用空间来生成的,当FIFO中有空间时,就绪信号保持高电平以允许写入FIFO。只有当FIFO中没有剩余空间进行额外的写入操作时,就绪信号才会被拉低。有效信号则是基于FIFO中数据的可用性来生成的,当FIFO中有数据可供读取时,有效信号保持高电平以允许从FIFO中读取数据。只有当FIFO中没有可供读取的数据时,有效信号才会被拉低。这些信息信号被映射到Native 接口FIFO的din和dout总线上。AXI FIFO的宽度是通过连接AXI接口的所有信息信号来确定的。这些信息信号包括除有效和就绪握手信号之外的所有AXI信号。

在AXI协议中,这种握手机制确保了数据在源和目标之间的可靠传输。当源设备准备好发送数据时,它会将有效信号置高,表示数据已经准备好。然后,目标设备会检查就绪信号。只有当就绪信号也为高时,目标设备才会从源设备接收数据。这种机制确保了数据在传输过程中不会被丢失或发生错误。

AXI FIFO仅在First Word Fall Through模式下运行。该功能提供了在不执行读取操作的情况下查看FIFO中下一个可用字的能力。当FIFO中有数据时,第一个字会自动通过FIFO并出现在输出数据总线上。

注意:对于AXI接口,由于复位输入总是异步的,因此在内核内部会自动启用安全电路。

3 AXI FIFO的直通线(Pass Through Wire)

AXI Memory Mapped接口的IP核提供了直通线选项,使得所有输入信号能够直接传递到输出,而无需经过任何处理或缓存。这在某些情况下可能很有用,比如当您希望将信号直接转发到另一个接口或组件时。

3.1 数据包FIFO(Packet FIFO)

数据包FIFO配置确保了在接收到数据包(突发传输)的末尾(LAST节拍)之前,不会开始数据包的传输。这样做可以确保一旦主设备侧开始传输,数据就能不间断地可用,从而避免了AXI数据通道的源端停滞。这对于数据起源于主设备的应用场景特别有价值。
例如,当实时信号通道以低于下游AXI交换机和/或从设备目的地的数据速率运行时,如高带宽内存,这种配置就非常有用。

数据包FIFO原理既适用于AXI4/AXI3 Memory Mapped突发事务(写入和读取),也适用于AXI4-Stream数据包传输。这个特性有时被称为“store-and-forward”,它描述了内存映射写入和流传输的行为。对于内存映射读取,事务会延迟到FIFO中有足够的空位来确保整个读取数据包能够不间断地缓冲,这是根据AR(地址读取)通道事务的预测进行的。读取事务实际上并不依赖RLAST信号。

数据包FIFO特性支持公共时钟AXI4/AXI3和公共/独立时钟AXI4-Stream配置。它不支持AXI4-Lite配置。

3.2 AXI4-Stream Packet FIFO

AXI4-Stream Packet FIFO和AXI4/AXI3 Packet FIFO是两种不同类型的FIFO,它们分别使用AXI4-Stream接口和AXI Memory Mapped接口来实现数据包FIFO的功能。

AXI4-Stream Packet FIFO使用AXI4-Stream接口。当在AXI4-Stream Slave侧接收到一个完整的数据包(由tlast标记)或AXI4-Stream FIFO已满时,FIFO Generate IP核会在AXI4-Stream Master侧指示tvalid。由于FIFO变满而在Master侧指示tvalid是一个异常情况,在这种情况下,Packet FIFO会作为一个正常的FWFT(First Word Fall Through)FIFO工作,将Slave侧接收到的数据转发到Master侧,直到在Slave侧接收到tlast。

3.3 AXI4/AXI3 Packet FIFO

AXI4/AXI3 Packet FIFO 使用AXI Memory Mapped接口,它支持AXI协议中的写(W)和读(R)通道以及相关的地址(AW/AR)通道。Packet FIFO允许在数据传输过程中存储完整的数据包,直到数据包被完全接收或发送。

写通道上的Packet FIFO
当在AXI W通道Slave侧接收到一个由wlast标记的完整数据包时,FIFO Generate IP核会在AXI AW通道Master侧指示awvalid。这意味着写地址(AW)的传输只有在W通道Slave侧接收到请求传输所需的所有数据后才会被发送到AXI Write Address Channel。
写通道Packet FIFO与写地址通道(AW通道)耦合,这确保了在发送写请求之前,有足够的空间来存储与该请求相关的所有数据。
W通道的最小深度被设置为512,这允许写通道Packet FIFO存储两个最大长度的数据包。

读通道上的Packet FIFO
当在AXI R通道Master侧接收到一个由rlast标记的完整数据包时,FIFO Generate IP核会在AXI R通道Slave侧指示rvalid。这意味着读数据的传输(通过R通道)已经完成,并且整个数据包已经被成功接收。
读通道Packet FIFO与读地址通道(AR通道)耦合,这确保了在发送读请求之前,Packet FIFO中有足够的空间来存储与该请求相关的数据。如果Packet FIFO中没有足够的空间,则不会向AXI Read Address Channel发送AR传输。
R通道的最小深度也被设置为512,允许读通道Packet FIFO存储两个最大长度的数据包。

这种机制允许数据包在传输过程中被缓存,确保数据传输的连续性和完整性,同时避免由于数据不匹配或丢失而导致的错误。这对于需要处理大量数据或需要确保数据完整性的应用场景(如高速数据传输或实时信号处理)非常有用。

这篇关于FIFO Generate IP核使用——AXI接口FIFO简介的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/959088

相关文章

C语言中联合体union的使用

本文编辑整理自: http://bbs.chinaunix.net/forum.php?mod=viewthread&tid=179471 一、前言 “联合体”(union)与“结构体”(struct)有一些相似之处。但两者有本质上的不同。在结构体中,各成员有各自的内存空间, 一个结构变量的总长度是各成员长度之和。而在“联合”中,各成员共享一段内存空间, 一个联合变量

轻量级在线服装3D定制引擎Myway简介

我写的面向web元宇宙轻量级系列引擎中的另外一个,在线3D定制引擎Myway 3D。 用于在线商品定制,比如个性化服装的定制、日常用品(如杯子)、家装(被套)等物品的在线定制。 特性列表: 可更换衣服款式,按需定制更换模型可实时更改材质颜色可实时添加文本,并可实时修改大小、颜色和角度,支持自定义字体可实时添加艺术图标,并可实时修改大小、颜色和角度,支持翻转、各种对齐可更改衣服图案,按需求定制

Tolua使用笔记(上)

目录   1.准备工作 2.运行例子 01.HelloWorld:在C#中,创建和销毁Lua虚拟机 和 简单调用。 02.ScriptsFromFile:在C#中,对一个lua文件的执行调用 03.CallLuaFunction:在C#中,对lua函数的操作 04.AccessingLuaVariables:在C#中,对lua变量的操作 05.LuaCoroutine:在Lua中,

Vim使用基础篇

本文内容大部分来自 vimtutor,自带的教程的总结。在终端输入vimtutor 即可进入教程。 先总结一下,然后再分别介绍正常模式,插入模式,和可视模式三种模式下的命令。 目录 看完以后的汇总 1.正常模式(Normal模式) 1.移动光标 2.删除 3.【:】输入符 4.撤销 5.替换 6.重复命令【. ; ,】 7.复制粘贴 8.缩进 2.插入模式 INSERT

Lipowerline5.0 雷达电力应用软件下载使用

1.配网数据处理分析 针对配网线路点云数据,优化了分类算法,支持杆塔、导线、交跨线、建筑物、地面点和其他线路的自动分类;一键生成危险点报告和交跨报告;还能生成点云数据采集航线和自主巡检航线。 获取软件安装包联系邮箱:2895356150@qq.com,资源源于网络,本介绍用于学习使用,如有侵权请您联系删除! 2.新增快速版,简洁易上手 支持快速版和专业版切换使用,快速版界面简洁,保留主

如何免费的去使用connectedpapers?

免费使用connectedpapers 1. 打开谷歌浏览器2. 按住ctrl+shift+N,进入无痕模式3. 不需要登录(也就是访客模式)4. 两次用完,关闭无痕模式(继续重复步骤 2 - 4) 1. 打开谷歌浏览器 2. 按住ctrl+shift+N,进入无痕模式 输入网址:https://www.connectedpapers.com/ 3. 不需要登录(也就是

Toolbar+DrawerLayout使用详情结合网络各大神

最近也想搞下toolbar+drawerlayout的使用。结合网络上各大神的杰作,我把大部分的内容效果都完成了遍。现在记录下各个功能效果的实现以及一些细节注意点。 这图弹出两个菜单内容都是仿QQ界面的选项。左边一个是drawerlayout的弹窗。右边是toolbar的popup弹窗。 开始实现步骤详情: 1.创建toolbar布局跟drawerlayout布局 <?xml vers

C#中,decimal类型使用

在Microsoft SQL Server中numeric类型,在C#中使用的时候,需要用decimal类型与其对应,不能使用int等类型。 SQL:numeric C#:decimal

探索Elastic Search:强大的开源搜索引擎,详解及使用

🎬 鸽芷咕:个人主页  🔥 个人专栏: 《C++干货基地》《粉丝福利》 ⛺️生活的理想,就是为了理想的生活! 引入 全文搜索属于最常见的需求,开源的 Elasticsearch (以下简称 Elastic)是目前全文搜索引擎的首选,相信大家多多少少的都听说过它。它可以快速地储存、搜索和分析海量数据。就连维基百科、Stack Overflow、

flask 中使用 装饰器

因为要完成毕业设计,我用到fountain code做数据恢复。 于是在github上下载了fountain code的python原代码。 github上的作者用flask做了fountain code的demo。 flask是面向python的一个网站框架。 里面有用到装饰器。 今天笔试的时候,我也被问到了python的装饰器。