本文主要是介绍UVM基础知识0:在vcs中,sv通过DPI调用C函数实例,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!
来源:systemverilog验证 测试平台编写指南(书籍)
1 新建factorial.c文件
vi factorial.c
int factorial (int i) {if (i <= 1) return i;else return i*factorial(i-1);
}
2 新建test.sv文件
vi test.sv
import "DPI-C" function int factorial(input int i);program automatic test;initial begin for (int i; i <= 10; i++) $display ("%0d! = %0d", i, factorial(i));end
endprogram
3 制作makefile
vi makefile
run: vcs sim vcs:vcs -full64 -sverilog test.sv factorial.csim:./simvclean:rm -f simv novas.conf *.log *.dump *.rc *.key test.fsdbrm -rf csrc/ simv.daidir/ verdiLog/
4 输入 make run 即可有以下结果
这篇关于UVM基础知识0:在vcs中,sv通过DPI调用C函数实例的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!