视觉信息处理与FPGA实现第八次作业——verilog实现对比度调节

本文主要是介绍视觉信息处理与FPGA实现第八次作业——verilog实现对比度调节,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

一、查看灰度图的数据格式

1.1 安装HxD

HxD下载链接:https://download.csdn.net/download/weixin_44357071/89045331

解压直接打开exe就能使用。

将需要查看二进制数据的图片拖到软件框里就能读取

1.2 找到bmp图像的图片点阵数据起始地址,原理和例子

从000Eh(h是16进制的意思)到0035是真的有40byte.横坐标是零到16,竖坐标是像十进制一样,把个位数空出来,然后是10,20,30.....

图中框框里是一个byte(字节),等于8个bit,A是4位bit的16进制表示,0也是。

如下图例子:

0000h到000Dh是位图文件头

000Eh到0035h是位图信息头,其中的001Ch是调色板颜色种类的位宽,这里是1,两种颜色,一种颜色需要4个字节,所以调色板需要2*4=8字节

所以0036h到003Dh是调色板所占的字节

003Eh及之后都是图片的点阵数据

1.3 生成200*200的灰度图

右键点击图片——属性,进入如图界面

修改尺寸为宽200,高200,即可将原来的800*800灰度图变为200*200.

1.4 选择图片的点阵数据

0000h到000Dh是位图文件头

000Eh到0035h是位图信息头,其中的001Ch是调色板颜色种类的位宽,这里是8,256种颜色,一种颜色需要4个字节,所以调色板需要256*4=1024字节

所以0036h到003Dh是调色板所占的字节

003Eh及之后都是图片的点阵数据

二、 代码和注释

需要注意$fopen等函数的使用,要打开的文件应该放在vivado的哪个目录下。链接:

verilog中的testbench语句——display,fopen,fread,fwrite——更新中-CSDN博客

2.1 设计代码

module contrast_adjust
(input                   clk,input                   rst_n,input                   image_process_start,input       [7:0]       point_data_in,input       [2:0]       mul_value, //range 0~7output  reg [7:0]       point_data_out
);
//----------------signal------------------
//线网型,用来传递值给下一个寄存器??wire        [10:0]      point_data_temp0;wire        [8:0]       point_data_temp1;wire        [7:0]       point_data_out_processed;/*******************image point process**********************/
//-----------multiple------------//因为乘以的数是在 0到7,所以乘以 2的3次方,temp0就需要在8位的基础上拓展到10assign point_data_temp0 = point_data_in * mul_value;//divide 4,这个应该是通过直接取高8位的方法,实现右移两位,除以4//point_data_temp0[10:2] 是9位,然后通过第九位进行下一步的判断assign point_data_temp1 = point_data_temp0[10:2]; //----------overflow process-----//如果取高八位的数最高位依旧是1,即值大于等于100,那么直接给赋值到255,因为除以4了都还大于100//否则就截取的9位再取低8位,相当于再除以2,因为判断最高位是0了,所以这个右移是无损的assign point_data_out_processed = point_data_temp1[8]?8'b1111_1111: point_data_temp1[7:0];always @ (posedge clk or negedge rst_n)if(!rst_n) point_data_out <= 0;else if(image_process_start)point_data_out <= point_data_out_processed;elsepoint_data_out <= point_data_in;endmodule

2.2 tb代码

`timescale 1ns / 1ns`define Clock 20
module constrast_adjust_tb();/**************************port*************************/
reg                     clk;
reg                     rst_n;
reg     [7:0]           point_data_in;
reg     [2:0]           mul_value;
//reg     [7:0]           add_value;
reg                     image_process_start;
reg     [7:0]           bmp_data[0:50000];wire    [7:0]           point_data_out;
wire    [7:0]           bmp_data_out;/**************************clock and reset*************************/
//初始化时钟,设置时钟周期为20
initial beginclk= 1;forever #(`Clock/2) clk = ~clk;
endinitial beginrst_n= 0;#(`Clock*20+ 1);rst_n =1;
end/**************************读取位图数据*************************/
integer bmp_file_read;
integer file_read;
integer data_start_index;
integer bmp_size;initial begin//返回值bmp_file_read像是一个fd,文件标识符,在verilog里边习惯叫做句柄//rb表示read only+ binary,只读+二进制模式bmp_file_read= $fopen("../pic/picture.bmp","rb");//这行代码的作用是从之前打开的名为 bmp_file_read 的文件中读取数据,//并将读取的数据存储到 bmp_data 变量中。file_read= $fread(bmp_data, bmp_file_read);//找到位图数据开始的索引data_start_index= { bmp_data[13], bmp_data[12],bmp_data[11],bmp_data[10]};//得到bmp图像的大小bmp_size= { bmp_data[5], bmp_data[4], bmp_data[3], bmp_data[2] };
end/**************************输入 信号*************************/
initial beginmul_value= 3'd2;
endinteger index;
always @ (posedge clk or negedge rst_n)beginif(!rst_n)beginindex <= 0;image_process_start <= 0;point_data_in <= 0;endelse if(index == data_start_index)beginimage_process_start <= 1;index <= index + 1;point_data_in <= bmp_data[index];endelse beginindex <= index + 1;point_data_in <= bmp_data[index];end
endassign bmp_data_out = point_data_out;//--------------------图像写回文件------------------
integer bmp_file_write;
integer file_write;
initial begin//创建文件,然后得到句柄bmp_file_writebmp_file_write = $fopen("../pic/picture_contrast.bmp","wb");
end
//每一次时钟上升沿把数据写回
always @ (posedge clk or negedge rst_n)begin//好像意思是,不复位的情况下,传输正常进行,不知道对不对????????????????if(rst_n)beginif(index == 0 || index == 1)$display("start to write bmp file");//一直保持写数据,直到写到bmp文件大小+2,因为0和1不写数据else if(index < bmp_size + 2)//不理解这个为什么是%c,为什么不是%b呢???????????????????????????????????????????//将bmp_data_out的数据写到bmp_file_write这个fd中$fwrite(bmp_file_write,"%c",bmp_data_out);else begin$fclose(bmp_file_write);$fclose(bmp_file_read);$display("Write bmp file complete, close the file");$finish;endend
endcontrast_adjust u_contrast_adjust(.clk                 ( clk                 ),.rst_n               ( rst_n               ),.image_process_start ( image_process_start ),.point_data_in       ( point_data_in       ),.mul_value           ( mul_value           ),.point_data_out      ( point_data_out      )
);endmodule

这篇关于视觉信息处理与FPGA实现第八次作业——verilog实现对比度调节的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/869238

相关文章

使用Java解析JSON数据并提取特定字段的实现步骤(以提取mailNo为例)

《使用Java解析JSON数据并提取特定字段的实现步骤(以提取mailNo为例)》在现代软件开发中,处理JSON数据是一项非常常见的任务,无论是从API接口获取数据,还是将数据存储为JSON格式,解析... 目录1. 背景介绍1.1 jsON简介1.2 实际案例2. 准备工作2.1 环境搭建2.1.1 添加

Java实现任务管理器性能网络监控数据的方法详解

《Java实现任务管理器性能网络监控数据的方法详解》在现代操作系统中,任务管理器是一个非常重要的工具,用于监控和管理计算机的运行状态,包括CPU使用率、内存占用等,对于开发者和系统管理员来说,了解这些... 目录引言一、背景知识二、准备工作1. Maven依赖2. Gradle依赖三、代码实现四、代码详解五

java如何分布式锁实现和选型

《java如何分布式锁实现和选型》文章介绍了分布式锁的重要性以及在分布式系统中常见的问题和需求,它详细阐述了如何使用分布式锁来确保数据的一致性和系统的高可用性,文章还提供了基于数据库、Redis和Zo... 目录引言:分布式锁的重要性与分布式系统中的常见问题和需求分布式锁的重要性分布式系统中常见的问题和需求

SpringBoot基于MyBatis-Plus实现Lambda Query查询的示例代码

《SpringBoot基于MyBatis-Plus实现LambdaQuery查询的示例代码》MyBatis-Plus是MyBatis的增强工具,简化了数据库操作,并提高了开发效率,它提供了多种查询方... 目录引言基础环境配置依赖配置(Maven)application.yml 配置表结构设计demo_st

python使用watchdog实现文件资源监控

《python使用watchdog实现文件资源监控》watchdog支持跨平台文件资源监控,可以检测指定文件夹下文件及文件夹变动,下面我们来看看Python如何使用watchdog实现文件资源监控吧... python文件监控库watchdogs简介随着Python在各种应用领域中的广泛使用,其生态环境也

el-select下拉选择缓存的实现

《el-select下拉选择缓存的实现》本文主要介绍了在使用el-select实现下拉选择缓存时遇到的问题及解决方案,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的... 目录项目场景:问题描述解决方案:项目场景:从左侧列表中选取字段填入右侧下拉多选框,用户可以对右侧

Python pyinstaller实现图形化打包工具

《Pythonpyinstaller实现图形化打包工具》:本文主要介绍一个使用PythonPYQT5制作的关于pyinstaller打包工具,代替传统的cmd黑窗口模式打包页面,实现更快捷方便的... 目录1.简介2.运行效果3.相关源码1.简介一个使用python PYQT5制作的关于pyinstall

使用Python实现大文件切片上传及断点续传的方法

《使用Python实现大文件切片上传及断点续传的方法》本文介绍了使用Python实现大文件切片上传及断点续传的方法,包括功能模块划分(获取上传文件接口状态、临时文件夹状态信息、切片上传、切片合并)、整... 目录概要整体架构流程技术细节获取上传文件状态接口获取临时文件夹状态信息接口切片上传功能文件合并功能小

python实现自动登录12306自动抢票功能

《python实现自动登录12306自动抢票功能》随着互联网技术的发展,越来越多的人选择通过网络平台购票,特别是在中国,12306作为官方火车票预订平台,承担了巨大的访问量,对于热门线路或者节假日出行... 目录一、遇到的问题?二、改进三、进阶–展望总结一、遇到的问题?1.url-正确的表头:就是首先ur

C#实现文件读写到SQLite数据库

《C#实现文件读写到SQLite数据库》这篇文章主要为大家详细介绍了使用C#将文件读写到SQLite数据库的几种方法,文中的示例代码讲解详细,感兴趣的小伙伴可以参考一下... 目录1. 使用 BLOB 存储文件2. 存储文件路径3. 分块存储文件《文件读写到SQLite数据库China编程的方法》博客中,介绍了文