视觉信息处理与FPGA实现第八次作业——verilog实现对比度调节

本文主要是介绍视觉信息处理与FPGA实现第八次作业——verilog实现对比度调节,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

一、查看灰度图的数据格式

1.1 安装HxD

HxD下载链接:https://download.csdn.net/download/weixin_44357071/89045331

解压直接打开exe就能使用。

将需要查看二进制数据的图片拖到软件框里就能读取

1.2 找到bmp图像的图片点阵数据起始地址,原理和例子

从000Eh(h是16进制的意思)到0035是真的有40byte.横坐标是零到16,竖坐标是像十进制一样,把个位数空出来,然后是10,20,30.....

图中框框里是一个byte(字节),等于8个bit,A是4位bit的16进制表示,0也是。

如下图例子:

0000h到000Dh是位图文件头

000Eh到0035h是位图信息头,其中的001Ch是调色板颜色种类的位宽,这里是1,两种颜色,一种颜色需要4个字节,所以调色板需要2*4=8字节

所以0036h到003Dh是调色板所占的字节

003Eh及之后都是图片的点阵数据

1.3 生成200*200的灰度图

右键点击图片——属性,进入如图界面

修改尺寸为宽200,高200,即可将原来的800*800灰度图变为200*200.

1.4 选择图片的点阵数据

0000h到000Dh是位图文件头

000Eh到0035h是位图信息头,其中的001Ch是调色板颜色种类的位宽,这里是8,256种颜色,一种颜色需要4个字节,所以调色板需要256*4=1024字节

所以0036h到003Dh是调色板所占的字节

003Eh及之后都是图片的点阵数据

二、 代码和注释

需要注意$fopen等函数的使用,要打开的文件应该放在vivado的哪个目录下。链接:

verilog中的testbench语句——display,fopen,fread,fwrite——更新中-CSDN博客

2.1 设计代码

module contrast_adjust
(input                   clk,input                   rst_n,input                   image_process_start,input       [7:0]       point_data_in,input       [2:0]       mul_value, //range 0~7output  reg [7:0]       point_data_out
);
//----------------signal------------------
//线网型,用来传递值给下一个寄存器??wire        [10:0]      point_data_temp0;wire        [8:0]       point_data_temp1;wire        [7:0]       point_data_out_processed;/*******************image point process**********************/
//-----------multiple------------//因为乘以的数是在 0到7,所以乘以 2的3次方,temp0就需要在8位的基础上拓展到10assign point_data_temp0 = point_data_in * mul_value;//divide 4,这个应该是通过直接取高8位的方法,实现右移两位,除以4//point_data_temp0[10:2] 是9位,然后通过第九位进行下一步的判断assign point_data_temp1 = point_data_temp0[10:2]; //----------overflow process-----//如果取高八位的数最高位依旧是1,即值大于等于100,那么直接给赋值到255,因为除以4了都还大于100//否则就截取的9位再取低8位,相当于再除以2,因为判断最高位是0了,所以这个右移是无损的assign point_data_out_processed = point_data_temp1[8]?8'b1111_1111: point_data_temp1[7:0];always @ (posedge clk or negedge rst_n)if(!rst_n) point_data_out <= 0;else if(image_process_start)point_data_out <= point_data_out_processed;elsepoint_data_out <= point_data_in;endmodule

2.2 tb代码

`timescale 1ns / 1ns`define Clock 20
module constrast_adjust_tb();/**************************port*************************/
reg                     clk;
reg                     rst_n;
reg     [7:0]           point_data_in;
reg     [2:0]           mul_value;
//reg     [7:0]           add_value;
reg                     image_process_start;
reg     [7:0]           bmp_data[0:50000];wire    [7:0]           point_data_out;
wire    [7:0]           bmp_data_out;/**************************clock and reset*************************/
//初始化时钟,设置时钟周期为20
initial beginclk= 1;forever #(`Clock/2) clk = ~clk;
endinitial beginrst_n= 0;#(`Clock*20+ 1);rst_n =1;
end/**************************读取位图数据*************************/
integer bmp_file_read;
integer file_read;
integer data_start_index;
integer bmp_size;initial begin//返回值bmp_file_read像是一个fd,文件标识符,在verilog里边习惯叫做句柄//rb表示read only+ binary,只读+二进制模式bmp_file_read= $fopen("../pic/picture.bmp","rb");//这行代码的作用是从之前打开的名为 bmp_file_read 的文件中读取数据,//并将读取的数据存储到 bmp_data 变量中。file_read= $fread(bmp_data, bmp_file_read);//找到位图数据开始的索引data_start_index= { bmp_data[13], bmp_data[12],bmp_data[11],bmp_data[10]};//得到bmp图像的大小bmp_size= { bmp_data[5], bmp_data[4], bmp_data[3], bmp_data[2] };
end/**************************输入 信号*************************/
initial beginmul_value= 3'd2;
endinteger index;
always @ (posedge clk or negedge rst_n)beginif(!rst_n)beginindex <= 0;image_process_start <= 0;point_data_in <= 0;endelse if(index == data_start_index)beginimage_process_start <= 1;index <= index + 1;point_data_in <= bmp_data[index];endelse beginindex <= index + 1;point_data_in <= bmp_data[index];end
endassign bmp_data_out = point_data_out;//--------------------图像写回文件------------------
integer bmp_file_write;
integer file_write;
initial begin//创建文件,然后得到句柄bmp_file_writebmp_file_write = $fopen("../pic/picture_contrast.bmp","wb");
end
//每一次时钟上升沿把数据写回
always @ (posedge clk or negedge rst_n)begin//好像意思是,不复位的情况下,传输正常进行,不知道对不对????????????????if(rst_n)beginif(index == 0 || index == 1)$display("start to write bmp file");//一直保持写数据,直到写到bmp文件大小+2,因为0和1不写数据else if(index < bmp_size + 2)//不理解这个为什么是%c,为什么不是%b呢???????????????????????????????????????????//将bmp_data_out的数据写到bmp_file_write这个fd中$fwrite(bmp_file_write,"%c",bmp_data_out);else begin$fclose(bmp_file_write);$fclose(bmp_file_read);$display("Write bmp file complete, close the file");$finish;endend
endcontrast_adjust u_contrast_adjust(.clk                 ( clk                 ),.rst_n               ( rst_n               ),.image_process_start ( image_process_start ),.point_data_in       ( point_data_in       ),.mul_value           ( mul_value           ),.point_data_out      ( point_data_out      )
);endmodule

这篇关于视觉信息处理与FPGA实现第八次作业——verilog实现对比度调节的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/869238

相关文章

作业提交过程之HDFSMapReduce

作业提交全过程详解 (1)作业提交 第1步:Client调用job.waitForCompletion方法,向整个集群提交MapReduce作业。 第2步:Client向RM申请一个作业id。 第3步:RM给Client返回该job资源的提交路径和作业id。 第4步:Client提交jar包、切片信息和配置文件到指定的资源提交路径。 第5步:Client提交完资源后,向RM申请运行MrAp

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo

C#实战|大乐透选号器[6]:实现实时显示已选择的红蓝球数量

哈喽,你好啊,我是雷工。 关于大乐透选号器在前面已经记录了5篇笔记,这是第6篇; 接下来实现实时显示当前选中红球数量,蓝球数量; 以下为练习笔记。 01 效果演示 当选择和取消选择红球或蓝球时,在对应的位置显示实时已选择的红球、蓝球的数量; 02 标签名称 分别设置Label标签名称为:lblRedCount、lblBlueCount

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略 1. 特权模式限制2. 宿主机资源隔离3. 用户和组管理4. 权限提升控制5. SELinux配置 💖The Begin💖点点关注,收藏不迷路💖 Kubernetes的PodSecurityPolicy(PSP)是一个关键的安全特性,它在Pod创建之前实施安全策略,确保P

工厂ERP管理系统实现源码(JAVA)

工厂进销存管理系统是一个集采购管理、仓库管理、生产管理和销售管理于一体的综合解决方案。该系统旨在帮助企业优化流程、提高效率、降低成本,并实时掌握各环节的运营状况。 在采购管理方面,系统能够处理采购订单、供应商管理和采购入库等流程,确保采购过程的透明和高效。仓库管理方面,实现库存的精准管理,包括入库、出库、盘点等操作,确保库存数据的准确性和实时性。 生产管理模块则涵盖了生产计划制定、物料需求计划、

C++——stack、queue的实现及deque的介绍

目录 1.stack与queue的实现 1.1stack的实现  1.2 queue的实现 2.重温vector、list、stack、queue的介绍 2.1 STL标准库中stack和queue的底层结构  3.deque的简单介绍 3.1为什么选择deque作为stack和queue的底层默认容器  3.2 STL中对stack与queue的模拟实现 ①stack模拟实现