SystemVerilog Constants、Processes

2024-03-06 23:44

本文主要是介绍SystemVerilog Constants、Processes,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

SystemVerilog提供了三种类型的精化时间常数:

•参数:与最初的Verilog标准相同,可以以相同的方式使用。

•localparameter:与参数类似,但不能被上层覆盖模块。

•specparam:用于指定延迟和定时值;因此,该值不是

在Vivado合成中得到支持。

还有一个名为const的运行时常量声明。

类型运算符

类型运算符允许将参数指定为数据类型,这允许模块具有

不同实例的不同类型的参数。

铸造

在SystemVerilog中,将一种数据类型的值分配给不同的数据类型是非法的。

但是,解决方法是使用强制转换运算符(')。强制转换运算符转换数据类型

在不同类型之间分配时。用法是:

casting_type'(表达式)

casting_type是以下类型之一:

•整数类型

•non_integer_type

•real_type

•无符号常量

•用户创建的签名值类型

聚合数据类型

在聚合数据类型中,存在结构和并集,如下所述小节。

结构

结构是可以作为一个值或单个成员引用的数据集合结构的。这类似于记录的VHDL概念。用于指定的格式

结构为:

struct{struct_member1;struct_mmember2;…struct_mmberx;}struct_name;

工会

并集是可以以不同方式引用的数据的单个部分。的格式指定并集是:

typedef联合打包的{union_mber1;union_mber 2…union_mmberx;}

unions_name;

打包和非打包阵列

Vivado synthesis同时支持打包和非打包阵列:

逻辑[5:0]sig1//压缩阵列逻辑sig2[5:0]//非压缩数组具有预定宽度的数据类型不需要声明的压缩维度:

整数sig3//等效于逻辑符号[31:0]sig3

Processes
Always Procedures
There are four always procedures:
• always
• always_comb
• always_latch
• always_ff
The procedure always_comb describes combinational logic. A sensitivity list is inferred by the
logic driving the always_comb statement.
For always you must provide the sensitivity list. The following examples use a sensitivity list of
in1 and in2 :
always@(in1 or in2)
out1 = in1 & in2;
always_comb out1 = in1 & in2;
The procedure always_latch provides a quick way to create a latch. Like always_comb , a
sensitivity list is inferred, but you must specify a control signal for the latch enable, as in the
following example:
always_latch
if(gate_en) q <= d;
The procedure always_ff is a way to create Flip-Flops. Again, you must specify a sensitivity
list:
always_ff@(posedge clk)
out1 <= in1;

阻止语句

块语句提供了一种将语句集分组在一起的机制。顺序块在陈述的前后各有一个开头和结尾。块可以声明自己的变量,以及变量是特定于该块的。顺序块也可以具有与关联的名称那个街区。格式如下:

begin [: block name]
[declarations]
[statements]
end [: block name]
begin : my_block logic temp;
temp = in1 & in2; out1 = temp;
end : my_block

在前面的示例中,块名称也在结束语句之后指定。这使得代码可读性更强,但不是必需的。

注意:Vivado合成中不支持并行块(或分叉连接块)。

程序时间控制

SystemVerilog有两种类型的定时控制:

•延迟控制:指定语句执行之间的时间量。这不是对合成有用,Vivado合成在创建逻辑的同时忽略了时间语句任务。

•事件控制:使分配与特定事件一起发生;例如

总是@(posedge clk)。这是Verilog的标准配置,但SystemVerilog包含额外的功能。

逻辑或运算符是一种提供任意数量的事件的能力,因此任何事件都会触发声明的执行。要执行此操作,请使用特定的或,或在中用逗号分隔敏感度列表。例如,以下两个语句是相同的:

always@(a or b or c)
always@(a,b,c)

SystemVerilog还支持隐式事件表达式@*。这有助于消除由于灵敏度列表不正确而导致的模拟不匹配。

例如

Logic always@* begin

有关模拟的Verilog格式,请参阅Vivado设计套件用户指南:逻辑模拟(UG900)。

操作员

Vivado合成支持以下SystemVerilog运算符:

• Assignment operators ( =, +=, -=, *=, /=, %=, &=, |=, ^=, <<=, >>=, <<<=,
>>>= )
• Unary operators ( +, -, !, ~, &, ~&, |, ~|, ^, ~^, ^~ )
• Increment/decrement operators (++, -- )
• Binary operators ( +, -, *, /, %, ==, ~=, ===, ~==, &&, ||, **, <, <=, >,
>=, &, |, ^, ^~, ~^, >>, <<, >>>, <<< )
Note : A**B is supported if A is a power of 2 or B is a constant.
• Conditional operator ( ? : )
• Concatenation operator ( {...} )

签名表达式

Vivado合成同时支持有符号和无符号运算。信号可以声明为

未签名或已签名。例如

logic [5:0] reg1;
logic signed [5:0] reg2;

这篇关于SystemVerilog Constants、Processes的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/781749

相关文章

rtklib.h : RTKLIB constants, types and function prototypes 解释

在 RTKLIB 中,rtklib.h 是一个头文件,包含了与 RTKLIB 相关的常量、类型和函数原型。以下是该头文件的一些常见内容和翻译说明: 1. 常量 (Constants) rtklib.h 中定义的常量通常包括: 系统常量: 例如,GPS、GLONASS、GALILEO 等系统的常量定义。 时间常量: 如一年、一天的秒数等。 精度常量: 如距离、速度的精度标准。 2. 类型

systemverilog、verilog的部分常用内部函数

1. $ceil 作用:将给定的实数或浮点数向上取整。示例:$ceil(3.2) 返回 4。 2. $floor 作用:将给定的实数或浮点数向下取整。示例:$floor(3.9) 返回 3。 3. $value$plusargs 作用:从命令行读取传递给仿真器的参数。格式:$value$plusargs("格式", 变量),格式 用来匹配命令行的参数,变量 是用来存储匹配到的值。示例:$

systemverilog中的DPI-C用例介绍

文章目录 前言一、dpi_longint二、dpi_packed_array三、dpi_structure四、相关参考总结 前言 本文主要基于VCS内置的三个关于DPI-C的使用用例,记录一下DPI-C的使用方法。测试用例的路径为$VCS_HOME/doc/examples/testbench/sv/。测试用例包括:dpi_longint,dpi_packed_arra

讲个SystemVerilog disable语句的坑

前言 记录个使用SystemVerilog disable语句时遇到的坑,这个坑有点反直觉,以至于我当时有点不信,觉得可能是EDA仿真工具的问题。后来查看了SystemVerilog手册和使用不同EDA工具进行验证,才慢慢接受了。结论是:SystemVerilog disable block_name或task时,会把hierarchy一致的block_name或task的线程都停掉。 正

利用Systemverilog+UVM搭建SOC及ASIC的RTL验证环境

在集成电路设计的复杂世界中,验证环节是确保设计满足预期功能和性能要求的关键步骤。随着系统级芯片(SOC)和特定应用集成电路(ASIC)的规模和复杂性不断增加,传统的验证方法已经难以满足高效、准确的验证需求。因此,采用先进的验证方法学和工具变得尤为重要。 SystemVerilog(SV)和通用验证方法学(UVM)作为当前硬件验证领域的两大支柱,提供了一套完整的框架和工具,用于构建可扩展、可重用的

进程(Processes)

在 Elixir 中,所有代码都在进程内运行。进程彼此隔离,彼此并发运行并通过消息传递进行通信。进程不仅是 Elixir 中并发的基础,而且还提供了构建分布式和容错程序的方法。 Elixir 的进程不应与操作系统进程混淆。Elixir 中的进程在内存和 CPU 方面非常轻量级(甚至与许多其他编程语言中使用的线程相比也是如此)。因此,同时运行数万甚至数十万个进程并不罕见。 在本章中,我们将学习生

struts2 Constants

基础Constants struts.devMode 可选值true,false (默认false),在开发模式下,struts2的动态重新加载配置和资源文件的功能会默认生效。同时开发模式下也会提供更完善的日志支持。 struts.i18n.reload 可选值true,false(默认值依赖于struts.devMode),是否自动重新加载本地的资源文件。 struts.i18n.enco

SystemVerilog Interface Class的妙用

前言 Interface Class是在SystemVerilog 2012版本中引入的,但目前在验证中几乎很少采用,大多数验证工程师要么不知道它,要么没有看到使用它的任何好处,这使得Interface Class成为一个未被充分使用和不被重视的特性。本文将举两个Interface Class的使用例子,在这些例子中,Interface Class提高了验证环境的灵活性和质量,同时进一步提高了其

Threads and Processes

Ruby 提供了两种管理程序的基本方式,所以你可以同时运行程序的不同部分。你可以通过多线程将同一程序中多个合作任务分离,你也可以通过多进程分离不同程序间的任务。让我们依次来看一下。 多线程 通常可以使用 Ruby 的线程一次性做两件事。这些都是进程内,并且在 Ruby 解释器中实现的。这种方式使 Ruby 线程变得便携,它不需要依赖操作系统,而且使用原生线程也不会获得什么好处。你可能会体验到线

基于FPGA的SystemVerilog练习

文章目录 一、认识SystemVerilogSystemVerilog的语言特性SystemVerilog的应用领域SystemVerilog的优势SystemVerilog的未来发展方向 二、流水灯代码流水灯部分testbench仿真文件 三、用systemVerilog实现超声波测距计时器测距部分led部分数码管部分采样部分顶层文件引脚绑定效果 四、SysTemVerilog与veril