本文主要是介绍4、linux环境下,使用VCS进行Verilog和C语言联编译,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!
`timescale 1ns / 1ns
module test;initialbegin$hello;
// #10 $stop;#10 $finish;end
endmodule
/**********************************************************************/
文件名为hello_test.v
#include <stdlib.h> /* ANSI C standard library */
#include <stdio.h> /* ANSI C standard input/output library */
#include <stdarg.h> /* ANSI C standard arguments library */
#include "vpi_user.h" /* IEEE 1364 PLI VPI routine library *//*********************************************************************** calltf routine*********************************************************************/
PLI_INT32 PLIbook_hello_calltf(PLI_BYTE8 *user_data)
{vpi_printf("\nHello World!\n\n");return(0);
}/*********************************************************************** $hello Registration Data* (add this func
这篇关于4、linux环境下,使用VCS进行Verilog和C语言联编译的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!