verilog编程之乘法器的实现

2024-01-29 15:36

本文主要是介绍verilog编程之乘法器的实现,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

知识储备
首先来回顾一下乘法是如何在计算机中实现的。
假设现在有两个32位带符号定点整数x和y,我们现在要让x和y相乘,然后把乘积存放在z中,大家知道,两个32位数相乘,结果不会超过64位,因此z的长度应该为64位。
z = x * y中,x是被乘数,在Verilog代码中 multiplicand表示,y是乘数,在代码中用multiplier表示。因为x和y都是带符号数,所以应该是用补码乘法,但是如果对x和y求绝对值,让两个绝对值相乘,然后再判断正负,效果和补码乘法是相同。后面给出的Verilog代码就是基于这种思路编写的。两个32位整数相乘,实际上是进行了32次加法操作。下面以两个4位二进制数相乘来说明乘法实现的过程。

从上图中可以看到,被乘数x为1000,乘数y为1001,上面的乘法过程是手工运算的一个步骤,而计算机在做乘法时就是模拟上述手工运算的执行过程。因为是两个4位数相乘,所以结果应该是四个数加和得到的。先判断y的最低位是0还是1,如果是1,则需要把x加到部分积上,若为0,则需要把0加到部分积上(实际上加0的这个过程计算机并不执行,因为加0对部分积没有任何影响),x左移一位,之后再让y右移一位,若y为0,则循环结束,否则继续此循环过程。流程图如下。

流程图中,x因为需要左移,所以32位长度的x应该用一个64位寄存器来存储,这样才能保证x左移后不会发生高位丧失。

代码实现与分析
multiply.v文件如下

`timescale 1ns / 1ps
//*************************************************************************
//   > 文件名: multiply.v
//   > 描述  :乘法器模块,低效率的迭代乘法算法,使用两个乘数绝对值参与运算
//   > 作者  : LOONGSON
//   > 日期  : 2016-04-14
//*************************************************************************
module multiply(              // 乘法器input         clk,        // 时钟input         mult_begin, // 乘法开始信号input  [31:0] mult_op1,   // 乘法源操作数1input  [31:0] mult_op2,   // 乘法源操作数2output [63:0] product,    // 乘积output        mult_end   // 乘法结束信号
);//乘法正在运算信号和结束信号reg mult_valid;assign mult_end = mult_valid & ~(|multiplier); //乘法结束信号:乘数全0always @(posedge clk)   //①beginif (!mult_begin || mult_end)    //如果没有开始或者已经结束了beginmult_valid <= 1'b0;     //mult_valid 赋值成0,说明现在没有进行有效的乘法运算endelsebeginmult_valid <= 1'b1;//     test <= 1'b1;endend//两个源操作取绝对值,正数的绝对值为其本身,负数的绝对值为取反加1wire        op1_sign;      //操作数1的符号位wire        op2_sign;      //操作数2的符号位wire [31:0] op1_absolute;  //操作数1的绝对值wire [31:0] op2_absolute;  //操作数2的绝对值assign op1_sign = mult_op1[31];assign op2_sign = mult_op2[31];assign op1_absolute = op1_sign ? (~mult_op1+1) : mult_op1;assign op2_absolute = op2_sign ? (~mult_op2+1) : mult_op2;//加载被乘数,运算时每次左移一位reg  [63:0] multiplicand;always @ (posedge clk)  //②beginif (mult_valid)begin    // 如果正在进行乘法,则被乘数每时钟左移一位multiplicand <= {multiplicand[62:0],1'b0};  //被乘数x每次左移一位。endelse if (mult_begin) begin   // 乘法开始,加载被乘数,为乘数1的绝对值multiplicand <= {32'd0,op1_absolute};endend//加载乘数,运算时每次右移一位,相当于yreg  [31:0] multiplier;always @ (posedge clk)  //③beginif(mult_valid)begin       //如果正在进行乘法,则乘数每时钟右移一位multiplier <= {1'b0,multiplier[31:1]}; //相当于乘数y右移一位endelse if(mult_begin)begin   //乘法开始,加载乘数,为乘数2的绝对值multiplier <= op2_absolute;endend// 部分积:乘数末位为1,由被乘数左移得到;乘数末位为0,部分积为0wire [63:0] partial_product;assign partial_product = multiplier[0] ? multiplicand:64'd0;        //若此时y的最低位为1,则把x赋值给部分积partial_product,否则把0赋值给partial_product//累加器reg [63:0] product_temp;        //临时结果always @ (posedge clk)  //④//clk信号从0变为1时,激发此段语句的执行,但语句的执行需要时间beginif (mult_valid)beginproduct_temp <= product_temp + partial_product;end      else if (mult_begin)beginproduct_temp <= 64'd0;endend//乘法结果的符号位和乘法结果reg product_sign;    //乘积结果的符号always @ (posedge clk)  // 乘积⑤beginif (mult_valid)beginproduct_sign <= op1_sign ^ op2_sign;endend //若乘法结果为负数,则需要对结果取反+1assign product = product_sign ? (~product_temp+1) : product_temp;
endmodule


要看懂这段程序,很重要的一点是要弄明白Verilog语言中always语句的并发执行,这和我们以前接触过的高级语言不同,Verilog代码中的语句可以不按顺序执行,这个有点像多线程,也就是说多个任务同时进行。
上面的代码中共有5个always语句,每个always语句都是时钟信号clk的上跳沿触发,也就是说当clk从0变为1的时候,会触发always语句的执行。
下面介绍一下每个always语句的功能。
1.第一个always块

 always @(posedge clk)   //①
    begin
        if (!mult_begin || mult_end)    //如果没有开始或者已经结束了
        begin
            mult_valid <= 1'b0;     //mult_valid 赋值成0,说明现在没有进行有效的乘法运算
        end
        else
        begin
            mult_valid <= 1'b1;
       //     test <= 1'b1;
        end
    end

如果乘法还没开始(mult_begin == 0)或者乘法已经结束(mult_end == 1),则乘法有效信号(mult_valid)赋值0,也就是说此时没有进行有效的乘法。否则,乘法有效信号(mult_valid)赋值1。
2.第二个always块

always @ (posedge clk)  //②
    begin
        if (mult_valid)
        begin    // 如果正在进行乘法,则被乘数每时钟左移一位
            multiplicand <= {multiplicand[62:0],1'b0};  //被乘数x每次左移一位。
        end
        else if (mult_begin) 
        begin   // 乘法开始,加载被乘数,为乘数1的绝对值
            multiplicand <= {32'd0,op1_absolute};
        end
    end

如果乘法有效(mult_valid == 1),则被乘数(multiplicand)左移一位。如果乘法无效且乘法刚开始(mult_begin == 1),那么初始化被乘数(multiplicand)为mult_op1的绝对值。
3.第三个always块

 always @ (posedge clk)  //③
    begin
    if(mult_valid)
    begin       //如果正在进行乘法,则乘数每时钟右移一位
         multiplier <= {1'b0,multiplier[31:1]}; //相当于乘数y右移一位
    end
    else if(mult_begin)
    begin   //乘法开始,加载乘数,为乘数2的绝对值
        multiplier <= op2_absolute;
        end
    end

如果乘法有效(mult_valid == 1),乘数右移一位。如果乘法无效且乘法刚开始(mult_begin == 1),初始化乘数(multiplier)为mult_op2的绝对值。

4.第四个always块

 always @ (posedge clk)  //④//clk信号从0变为1时,激发此段语句的执行,但语句的执行需要时间
    begin
        if (mult_valid)
        begin
            product_temp <= product_temp + partial_product;
        end      
        else if (mult_begin)
        begin
        product_temp <= 64'd0;
        end
     end

如果乘法有效,则让临时结果(product_temp)加上部分积(partial_product),如果乘法无效且乘法刚开始(mult_begin == 1),那么初始化临时结果为0。部分积的内容在程序中的第71行


如果乘数y的最低位为0,则把0赋值给部分积,否则把乘数x赋值给部分积。
5.第五个always块

 always @ (posedge clk)  // 乘积⑤
    begin
        if (mult_valid)
        begin
              product_sign <= op1_sign ^ op2_sign;
        end
    end 

如果乘法有效,则计算乘积的符号,计算方法为乘数的符号和被乘数的符号进行异或。

编写思路
程序仿真开始时,bestbench.v文件会对输入信号进行初始化。使得mult_begin为1,并且给出两个操作数mult_op1和mult_op2分别作为乘数和被乘数。时钟信号clk每5ns变化一次,也就是说五个always块每隔10ns被触发一次。对mult_op1和mult_op2进行分解,分解出他们的符号和绝对值,后面的运算是让mult_op1和mult_op2的绝对值进行运算,相当于是两个无符号数的乘法。当乘法信号有效后,也就是说乘法开始之后,把x的绝对值赋值给一个64位的reg型变量multiplicand,把y的绝对值赋值给一个32位reg型变量multiplier,根绝multiplier最低位是0还是1,决定着64位wire型变量partial_product赋值0还还是赋值multiplicand。临时结果product_temp加上部分积之后再把加的结果赋值给自己,根据mult_op1和mult_op2的符号计算乘积结果的符号。最终的乘积结果(product)是wire型变量,用assign赋值,每当临时结果(product_temp)发生改变时,product也立即发生变化。

提示
reg型变量必须通过过程赋值语句赋值!不能通过assign语句赋值!而wire型数据不能放在过程块内赋值。

最后附上本实验用到的所有文件:

https://www.cnblogs.com/lures/p/14525787.html

可以使用百度云进行免费下载
链接:https://pan.baidu.com/s/1W3yFQ0kzJQfnkI4VyKyyJg
提取码:83lj

如果本篇文章对你有所帮助,欢迎使用CSDN下载来支持我
链接:https://download.csdn.net/download/weixin_43074474/13728746
链接:https://blog.csdn.net/weixin_43074474/article/details/90473709

这篇关于verilog编程之乘法器的实现的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/657370

相关文章

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Linux 网络编程 --- 应用层

一、自定义协议和序列化反序列化 代码: 序列化反序列化实现网络版本计算器 二、HTTP协议 1、谈两个简单的预备知识 https://www.baidu.com/ --- 域名 --- 域名解析 --- IP地址 http的端口号为80端口,https的端口号为443 url为统一资源定位符。CSDNhttps://mp.csdn.net/mp_blog/creation/editor

【Python编程】Linux创建虚拟环境并配置与notebook相连接

1.创建 使用 venv 创建虚拟环境。例如,在当前目录下创建一个名为 myenv 的虚拟环境: python3 -m venv myenv 2.激活 激活虚拟环境使其成为当前终端会话的活动环境。运行: source myenv/bin/activate 3.与notebook连接 在虚拟环境中,使用 pip 安装 Jupyter 和 ipykernel: pip instal

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo

C#实战|大乐透选号器[6]:实现实时显示已选择的红蓝球数量

哈喽,你好啊,我是雷工。 关于大乐透选号器在前面已经记录了5篇笔记,这是第6篇; 接下来实现实时显示当前选中红球数量,蓝球数量; 以下为练习笔记。 01 效果演示 当选择和取消选择红球或蓝球时,在对应的位置显示实时已选择的红球、蓝球的数量; 02 标签名称 分别设置Label标签名称为:lblRedCount、lblBlueCount

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略 1. 特权模式限制2. 宿主机资源隔离3. 用户和组管理4. 权限提升控制5. SELinux配置 💖The Begin💖点点关注,收藏不迷路💖 Kubernetes的PodSecurityPolicy(PSP)是一个关键的安全特性,它在Pod创建之前实施安全策略,确保P

工厂ERP管理系统实现源码(JAVA)

工厂进销存管理系统是一个集采购管理、仓库管理、生产管理和销售管理于一体的综合解决方案。该系统旨在帮助企业优化流程、提高效率、降低成本,并实时掌握各环节的运营状况。 在采购管理方面,系统能够处理采购订单、供应商管理和采购入库等流程,确保采购过程的透明和高效。仓库管理方面,实现库存的精准管理,包括入库、出库、盘点等操作,确保库存数据的准确性和实时性。 生产管理模块则涵盖了生产计划制定、物料需求计划、