【嵌入式】基于串口的IAP在线升级详解与实战1----IAP功能设计

2023-12-24 18:58

本文主要是介绍【嵌入式】基于串口的IAP在线升级详解与实战1----IAP功能设计,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

 

目录

一 IAP功能介绍

二 IAP烧写流程说明

三 APP程序设计

四 IAP(BootLoader)程序设计

五 实际固件烧录

六 一些坑和注意点汇总


一 IAP功能介绍

最近有个需求是,使用专用的手机APP,通过蓝牙模块的通信,实现嵌入式芯片上程序的在线升级,流程如下所示:

这个需求的核心要点在于MCU的串口IAP在线升级功能。

IAP即为In Application Programming,解释为在应用中编程,用户自己的程序在运行过程中对User Flash的部分区域进行烧写。即是一种对单片机flash擦写的一种编程方案。通常情况下,一片flash只有一个用户程序,而IAP编程则是将单片机的flash分成至少两大区域,一部分叫做bootloader区,一部分叫做app用户代码区,还可留出一部分区域为代码备份区。

Flash的地址在所使用的芯片说明书上有详细的介绍,以我这边使用的LPC18XX芯片来说,芯片中有两块大小为512K的Flash,分别为Flash A(0x1A000000-0x1A080000)Flash B(0x1B000000-0x1B080000),这两块Flash内部的扇区划分是一样的,都是8个8K的小扇区+7个64K的大扇区=512K,如下图所示:

根据上面的内存地址划分,MCU一上电,先从ROM A的起始地址0x1A000000开始执行。这样的话,设计将IAP程序放在ROM A的最开始段,比如0x1A000000-0x1A007FFF的32K空间(扇区号0-3),这里占据空间的大小根据实际的IAP程序大小决定。那么剩下来的ROM A中0x1A008000-0x1A07FFFF的480K空间(扇区号4-14)以及ROM B中0x1B000000-0x1B07FFFF的512K空间(扇区号0-14)就都分配给APP程序。

ROM B0x1B07FFFFAPP
0x1B000000
ROM A0x1A07FFFF
0x1A008000
0x1A007FFF(存放切换判断标志位flag_update)IAP
0x1A000000

需要特别注意的是,要完成APP与IAP程序的切换判断,我们需要一个flag_update,当flag_update为0xFF的时候,视为正常上电状态,程序由IAP可以直接跳转到APP中执行;当flag_update为0x55的时候,视为远程烧写状态,程序由IAP开始之后,不跳转APP,而是执行自己的等待烧写流程。这边具体的流程和应用后面会具体介绍,这边捎带一提。为了满足切换判断的功能,就需要该标志位不随APP程序的烧写而发生变化,所以我这边选择将IAP存储区的最后一个字节,即地址0x1A007FFF作为flag_update的存储地址,这样,在IAP程序不变的情况下,随便怎么烧写APP,只要目标地址满足上述表格中的要求,该标志位就不会发生变化。当然,使用用其他满足条件的存储方式(诸如存入外部Flash、存入EEPROM等)都可以。

二 IAP烧写流程说明

上面说完了IAP与APP的内存地址分配,接下来介绍一下IAP功能的流程:

【APP】:对于APP程序来说,需要实现的很简单,就是在串口收到某些外部指令的时候,将flag_update置为远程烧写状态(0x55),并重启。重启之后,MCU会重新从IAP程序开始执行,执行到flag_update判断,不跳转APP,进而执行IAP中等待升级文件的流程。

【IAP】:对于IAP程序来说,首先根据flag_update状态判断是否跳转APP,如果不跳转,则等待升级包。待升级包传输完毕,全部写到APP的地址中之后,再执行跳转到APP程序的起始地址执行(或者直接重启)。当然,跳转之前需要将flag_update重新置为正常上电状态(0xFF),这样以避免下一次重启之后,程序仍然跑在IAP中。

三 APP程序设计

APP的程序主要包括地址配置和跳转IAP两方面功能:

【1】地址配置:

将其地址配置为功能介绍中规划的地址,同时在系统初始化程序中,将SCB->VTOR的地址配置为APP程序的起始地址

void SystemInit (void) {#if (__FPU_USED == 1)SCB->CPACR |= ((3UL << 10*2) |                 /* set CP10 Full Access */(3UL << 11*2));               /* set CP11 Full Access */#endif/* Disable SysTick timer                                                    */SysTick->CTRL &= ~(SysTick_CTRL_TICKINT_Msk | SysTick_CTRL_ENABLE_Msk);/* Set vector table pointer *///SCB->VTOR = getPC() & 0xFFF00000;//SCB->VTOR  = 0x1A000000 | 0x0000;SCB->VTOR  = 0x1A000000 | 0x8000;/* Configure PLL0 and PLL1, connect CPU clock to selected clock source */SetClock();/* Update SystemCoreClock variable */SystemCoreClockUpdate();/* Configure External Memory Controller */SystemInit_ExtMemCtl ();//SDRAM123_Init();
}

【2】跳转IAP:

APP程序需要修改的核心程序体如下所示,串口收数据处理逻辑中,如果收到满足条件的通信码,则改写flag_update所在地址上的值

if(/*收到满足条件的通信码*/){iap_init(BANK0);Iap_Write_Config_Value(0x55);//runIap();__set_FAULTMASK(1);  //直接重启NVIC_SystemReset();}

其中,iap_init(BANK0)是用来初始化Flash A的,Iap_Write_Config_Value(0x55)是用来将flag_update置为0x55的:

unsigned char iapConfigBuffer[512];
uint8_t Iap_Write_Config_Value(uint8_t value)
{uint32_t i = 0;uint8_t *p;p = (uint8_t*)0x1A007E00;//首先要将第三扇区尾部512字节数据全部读取到ram里面for(i = 0; i < 512; i++){iapConfigBuffer[i] = *p;p++;}//然后检查最后一个数据和我们要设置的数据是否相等if(iapConfigBuffer[511] == value)//相等,不用设置了{return 0;}else{CLOSE_CORE_INT();	pre_sector(3, 3, 0);    //准备第三扇区erase_sector(3, 3, 0);  //清除第三扇区OPEN_CORE_INT();if(paramout[0] != CMD_SUCCESS){return 1;//擦除失败}//将数组最后一个元素设置为指定值iapConfigBuffer[511] = value;CLOSE_CORE_INT();pre_sector(3, 3, 0);  //准备第三扇区copy_ram_to_flash(0x1A007E00, (uint32_t)iapConfigBuffer, 512);  //写flashOPEN_CORE_INT();if(paramout[0] != CMD_SUCCESS){return 1;}return 0;} 
}

Iap_Write_Config_Value中最为核心的接口就是copy_ram_to_flash,这个接口的作用就是将RAM中的数组iapConfigBuffer写到Flash中。这边需要注意的是,该接口复制字节的个数为512/1024/4096,所以我这边预设iapConfigBuffer数组长度为512,将这个数组最后一个元素置为0x55之后,复制到Flash的第3扇区尾部512字节长的区域,即地址段0x1A007E00-0x1A007FFF:

/******************************************************************************************************  
** 函数名称:copy_ram_to_flash()  
** 函数功能:复制RAM的数据到FLASH,命令代码51。  
** 入口参数:dst        目标地址,即FLASH起始地址。以512字节为分界  
**           src        源地址,即RAM地址。地址必须字对齐  
**           no         复制字节个数,为512/1024/4096 
** 出口参数:IAP操作状态码  
**           IAP返回值(paramout缓冲区)  
*******************************************************************************************************/   
static uint32_t  copy_ram_to_flash(uint32_t dst, uint32_t src, uint32_t no)   
{     CLOSE_CORE_INT();paramin[0] = IAP_RAMTOFLASH;             // 设置命令字    paramin[1] = dst;                        // 设置参数    paramin[2] = src;   paramin[3] = no;   paramin[4] = IAP_FCCLK;   iap_entry(paramin, paramout);           // 调用IAP服务程序OPEN_CORE_INT();    return(paramout[0]);                     // 返回状态码    
} 

综上,便能实现APP程序中收到指令,修改flasg_update,再跳转IAP(重启)的功能。

四 IAP(BootLoader)程序设计

相比于APP程序,IAP的设计会复杂一点,主要概括为以下几个点:地址配置、跳转APP、串口读写/回显、Ymodem移植等。

【1】地址配置:

将其地址配置为功能介绍中规划的地址,同时在系统初始化程序中,将SCB->VTOR的地址配置为APP程序的起始地址

/*----------------------------------------------------------------------------Initialize the system*----------------------------------------------------------------------------*/
void SystemInit (void) {#if (__FPU_USED == 1)SCB->CPACR |= ((3UL << 10*2) |                 /* set CP10 Full Access */(3UL << 11*2));               /* set CP11 Full Access */#endif/* Disable SysTick timer                                                    */SysTick->CTRL &= ~(SysTick_CTRL_TICKINT_Msk | SysTick_CTRL_ENABLE_Msk);/* Set vector table pointer *///SCB->VTOR = getPC() & 0xFFF00000;SCB->VTOR  = 0x1A000000 | 0x0000;/* Configure PLL0 and PLL1, connect CPU clock to selected clock source */SetClock();/* Update SystemCoreClock variable */SystemCoreClockUpdate();/* Configure External Memory Controller */SystemInit_ExtMemCtl ();//SDRAM123_Init();
}

【2】跳转APP:

IAP程序中需要修改的核心程序体如下所示,上电IAP程序自检,如果位于0X1A007FFF的标志位值为0xFF,则进入APP程序地址运行,反之执行自身的烧写流程:

void UsbCdc_Task(void)
{uint8_t key = 0;uint8_t *flag_update = (uint8_t*)FLAG_UPDATE_ADDRESS;  //0X1A007FFFinitUART1();while(1){//检查标志位符合要求,直接跳转APP程序段,否则执行自身的逻辑if((*flag_update) == APP_CONFIG_CLEAR_VALUE)  //0xFF{runApp();}SerialPutString("\r\n============ IAP DownLoad ===========\r\n");SerialPutString("= [1]Download File To Flash1  --> 1 =\r\n");SerialPutString("= [2]Download File To Flash2  --> 2 =\r\n");SerialPutString("= [3]Execute The New Program  --> e =\r\n");SerialPutString("= [4]Reboot --------------------> r =\r\n");SerialPutString("=====================================\r\n");key = GetKey();switch(key){case '1':  //烧录第1块flashSerialDownload(BANK0);SerialPutString("Download File To Flash1, OK!\r\n");break;case '2':  //烧录第2块flashSerialDownload(BANK1);SerialPutString("Download File To Flash2, OK!\r\n");break;case 'e':  //跳转APP程序SerialPutString("Execute The New Program!\r\n");iap_init(BANK0);Iap_Write_Config_Value(APP_CONFIG_CLEAR_VALUE);
//                runApp();  //刚烧完就跳转有时会有问题,所以干脆直接重启__set_FAULTMASK(1);NVIC_SystemReset();break;case 'r':  //重启SerialPutString("\r\nSystem Reboot...\r\n");__set_FAULTMASK(1);NVIC_SystemReset();break;default:SerialPutString("The number should be either 1 or 2\r\n");break;}}
}

其中跳转接口runApp逻辑如下所示:

//JUMP//
typedef  void (*iapfun)(void);				//定义一个函数类型的参数.
iapfun jump2iap;
#include <RTL.h>//设置栈顶地址
//addr:栈顶地址
__asm void MSR_MSP(uint32_t addr) 
{MSR MSP, r0 			//set Main Stack valueBX r14
}#define vu32 volatile unsigned intvoid iap_jump(uint32_t iapxaddr)
{if(((*(vu32*)iapxaddr)&0x10000000)==0x10000000)	//检查栈顶地址是否合法.0x10000000是sram的起始地址,也是程序的栈顶地址{jump2iap=(iapfun)*(vu32*)(iapxaddr+4);		//用户代码区第二个字为程序开始地址(复位地址)	os_dly_wait(10);        MSR_MSP(*(vu32*)iapxaddr);					//初始化APP堆栈指针(用户代码区的第一个字用于存放栈顶地址)jump2iap();									//跳转到APP.}
}void runIap(void)
{iap_jump(IAP_ADDRESS);
}

【3】串口读写/回显:

这边使用了MCU中的UART1串口,初始化如下,需要特别注意的是,IAP功能尽量不要启用中断,否则可能会带来不可预料的问题,这边将NVIC_EnableIRQ直接注释掉了

/**************************************************************************
* 函数名称: initUART1
* 功能描述: UART1串口初始化
* 输入参数:
* 输出参数:
* 返 回 值:
* 其它说明: 蓝牙
**************************************************************************/
void initUART1(void)
{UART_CFG_Type UARTConfigStruct;UART_FIFO_CFG_Type UARTFIFOConfigStruct;scu_pinmux(0x5, 6, MD_PDN, FUNC4);//scu_pinmux(0x5, 7, MD_PLN|MD_EZI|MD_ZI, FUNC4);scu_pinmux(0xC, 14, MD_PLN|MD_EZI|MD_ZI, FUNC2);UART_ConfigStructInit1(&UARTConfigStruct);UART_FIFOConfigStructInit(&UARTFIFOConfigStruct);UART_Init((LPC_USARTn_Type *)LPC_UART1, &UARTConfigStruct);UART_FIFOConfig((LPC_USARTn_Type *)LPC_UART1, &UARTFIFOConfigStruct);UART_TxCmd((LPC_USARTn_Type *)LPC_UART1, ENABLE);UART_IntConfig((LPC_USARTn_Type *)LPC_UART1, UART_INTCFG_RBR, ENABLE);UART_IntConfig((LPC_USARTn_Type *)LPC_UART1, UART_INTCFG_RLS, ENABLE);NVIC_SetPriority(UART1_IRQn, ((0x03 << 3) | 0x01)); //NVIC_EnableIRQ(UART1_IRQn);  //IAP功能不能启用中断
}void UART_ConfigStructInit1(UART_CFG_Type *UART_InitStruct)
{UART_InitStruct->Baud_rate = 115200;  //蓝牙模块波特率UART_InitStruct->Databits = UART_DATABIT_8;UART_InitStruct->Parity = UART_PARITY_NONE;UART_InitStruct->Stopbits = UART_STOPBIT_1;UART_InitStruct->Clock_Speed = 0;
}

不启用中断,直接判断串口寄存器的状态来进行接收发送,接收发送的逻辑分别封装在串口按键响应和串口回显中,分别如下:

/******************************************************************************** @函数名称	SerialKeyPressed* @函数说明   测试超级终端是否有按键按下* @输入参数   key:按键* @输出参数   无* @返回参数   1:正确0:错误
*******************************************************************************/
uint32_t SerialKeyPressed(uint8_t *key)
{if (!(LPC_UART1->LSR & UART_LSR_RDR)) {return 0;}else{*key = UART_ReceiveByte((LPC_USARTn_Type*)LPC_UART1);return 1;}
}/******************************************************************************** @函数名称	GetKey* @函数说明   通过超级终端回去键码* @输入参数   无* @输出参数   无* @返回参数   按下的键码
*******************************************************************************/
uint8_t GetKey(void)
{uint8_t key = 0;/* Waiting for user input */while (1){if(SerialKeyPressed((uint8_t*)&key)) break;}return key;
}
/******************************************************************************** @函数名称	SerialPutChar* @函数说明   串口发送一个字符* @输入参数   C:需发送的字符* @输出参数   i无* @返回参数   无
*******************************************************************************/
void SerialPutChar(uint8_t c)
{UART_SendByte((LPC_USARTn_Type*)LPC_UART1, c);while(!(LPC_UART1->LSR & UART_LSR_THRE)){}
}/******************************************************************************** @函数名称	SerialPutChar* @函数说明   串口发送一个字符串* @输入参数   *s:需发送的字符串* @输出参数   无* @返回参数   无
*******************************************************************************/
void Serial_PutString(uint8_t *s)
{while (*s != '\0'){SerialPutChar(*s);s++;}
}

【4】Ymodem移植:

核心程序体中可以看到,当输入"1"或者”2“时,会分别烧录第1或者第2块flash,传输协议选用Ymodem-1K。这一块的内容比较多,所以单列一篇帖子具体叙述,请参考:

【嵌入式】基于串口的IAP在线升级详解与实战2----移植Ymodem协议

 

五 实际固件烧录

上面的IAP与APP程序设计完成之后,就可以通过超级终端或者SecureCRT来进行IAP固件烧录了,我这边使用超极终端的Ymodem进行烧写(这边兼容Ymodem协议和蓝牙BLE的安卓APP还在进行中,待完成之后,就可以在手机上进行操作了)。

【1】首先打开超级终端,超级终端设置如下:

【2】接到串口上之后,重启MCU,会首先进入到IAP程序,此时flag_update为0x55,所以执行IAP烧写流程(如果首先flag_update是0xFF,首先进入的是APP程序,此时通过串口发一个指令即可修改flag_update并跳转IAP)。打印如下:

【3】这边我需要烧写的文件比较大,分摊在Flash1与Flash2中,所以需要分别烧写(一般需要烧写的文件比较小的话<512K,只用一块Flash即可)。首先输入”1“,IAP会进入等待文件阶段,返回CCCCCCCC,打印如下:

【4】此时选择需要发送的Bin文件,并点击发送,此时会弹出对话框显示传输进度,传输完成之后,会提示烧写完成:

【5】重复之前步骤,输入”2“并选择ROM2对应的Bin文件。

【6】Flash1与Flash2均烧写完毕之后,输入”e“,修改标志位并重启,而后执行APP程序。

综上,便完成了一次固件的烧写。

 

六 一些坑和注意点汇总

!!!这边有几个重要的注意点!!!

(1)烧写需要的bin文件生成:https://blog.csdn.net/qq_21398131/article/details/83617400

(2)中断向量表的重定向:由前面的描述知道,要实现跳转就需要2个Keil工程,而每个Keil工程都会有自己的中断向量表,所以运行指定的Keil工程代码就需要使用对应的中断向量表。在MCU启动时会去执行SystemInit()函数,这个是在startup_LPC18xx.s里定义的(不同的芯片或keil版本这个汇编文件的名字可能不一样,调用函数都是一样的),

可以看到这是属于Reset_Handler里的代码(上电后或按reset键都会执行这段代码),先执行SystemInit()后,再去执行main()函数(SystemInit()定义在startup_LPC18xx.c中)。

所以需要在APP与IAP程序中分别重定向一下Flash起始地址,即SCB->VTOR的赋值:

/*----------------------------------------------------------------------------Initialize the system*----------------------------------------------------------------------------*/
void SystemInit (void) {#if (__FPU_USED == 1)SCB->CPACR |= ((3UL << 10*2) |                 /* set CP10 Full Access */(3UL << 11*2));               /* set CP11 Full Access */#endif/* Disable SysTick timer                                                    */SysTick->CTRL &= ~(SysTick_CTRL_TICKINT_Msk | SysTick_CTRL_ENABLE_Msk);/* Set vector table pointer */SCB->VTOR  = 0x1A000000 | 0x8000;  //APP程序中断向量表重定向//SCB->VTOR  = 0x1A000000 | 0x0000;  //IAP程序中断向量表重定向(就是初始位置,也可以不重定向)/* Configure PLL0 and PLL1, connect CPU clock to selected clock source */SetClock();/* Update SystemCoreClock variable */SystemCoreClockUpdate();/* Configure External Memory Controller */SystemInit_ExtMemCtl ();//SDRAM123_Init();
}

(3)外设中断的关闭

现在芯片里有2套程序,大家都要使用外设中断寄存器来做中断相关的操作(配置,开启等),但是外设中断寄存器只有一套。如果Bootloader配置了一个定时器中断寄存器并开启,也写好了中断处理函数,然后没有disable它就跳转到APP去,而APP代码里没有使用定时器中断,也没有写中断处理函数,那么就会导致崩溃。因为配置好的定时器中断,到了定时时间要去执行中断处理函数,而中断向量表已经重定向了,现在的中断向量表里没有对应的中断处理函数。

看过前面的跳转代码的人可能会问:不是已经使用了__disable_irq()了吗,为什么还不行?因为这个语句是关的总中断,当跳到APP后需要重新开启总中断,那么到时候它下面的那些外设中断又重新开始运行了(外设中断寄存器的值没有被清除掉)。就跟家里的水阀和水龙头一个道理,水阀是总阀,水阀关了,即使水龙头开着也不会出水,但是如果水阀开着,那么水龙头出不出水就取决于水龙头的开关情况了。

所以我们在IAP程序中初始化串口的时候,需要在__disable_irq()语句之后,需要关掉中断。

另外,我们可以使用NVIC_DisableIRQ()来关闭外设中断。如果有多个中断开着,就多次调用这个函数,例如:

NVIC_DisableIRQ(WWDG_IRQn);
NVIC_DisableIRQ(RTC_IRQn);
NVIC_DisableIRQ(DMA1_Channel1_IRQn);

(4)不能重启的问题:我在使用中遇到了使用__set_FAULTMASK(1);NVIC_SystemReset();不能重启的情况,执行到这边之后就挂死了。后来参照着另外一个能够重启的工程,把程序的内核由CORE_M3改成了CORE_M4,就能够重启了。

虽然问题解决,但是原因还是没有搞清楚,后面询问NXP官方也没有得到清晰的答案。这边把问题贴出来,如果有大神看出来原因,希望不吝赐教。

(5)超级终端与SecureCRT上Ymodem-1K协议的使用有区别

正常超级终端与SecureCRT两者发Ymodem-1K包,只可能是1024或者128两种包长,有效数据之外的部分用1A填充至标准包长

但是,调试的最后遇到一个很奇怪的问题,就是超级终端与SecureCRT对于Ymodem-1K的使用有区别,主要区别在于尾包(文件总大小%1024)的处理上,当尾包大于128或者小于128,这两个软件的发包行为是有区别的

传送文件大小1216byte = 1K+192byte(尾包192byte > 128byte)1072byte = 1K+48byte(尾包48byte < 128byte)
超级终端

数据段分成两个1K包:

1K+1K(192有效,剩余填充1A)

数据段分成一个1K包和一个128byte包:

1K+128(48有效,剩余填充为1A)

SecureCRT

数据段分成一个1K包和两个128byte包:

1K+128+128(192-168=64有效,剩余填充为1A)

数据段分成一个1K包和一个128byte包:

1K+128(48有效,剩余填充为1A)

我的程序在尾包处理那边没有针对这个区别做特殊处理,所以目前只支持超级终端。

网上查询相关资料,应该是超级终端的这种形式符合参考链接中描述的Ymodem要求:(参考链接:http://www.51hei.com/bbs/dpj-32461-1.html)

 

 

这篇关于【嵌入式】基于串口的IAP在线升级详解与实战1----IAP功能设计的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/532798

相关文章

网页解析 lxml 库--实战

lxml库使用流程 lxml 是 Python 的第三方解析库,完全使用 Python 语言编写,它对 XPath表达式提供了良好的支 持,因此能够了高效地解析 HTML/XML 文档。本节讲解如何通过 lxml 库解析 HTML 文档。 pip install lxml lxm| 库提供了一个 etree 模块,该模块专门用来解析 HTML/XML 文档,下面来介绍一下 lxml 库

Spring Security基于数据库验证流程详解

Spring Security 校验流程图 相关解释说明(认真看哦) AbstractAuthenticationProcessingFilter 抽象类 /*** 调用 #requiresAuthentication(HttpServletRequest, HttpServletResponse) 决定是否需要进行验证操作。* 如果需要验证,则会调用 #attemptAuthentica

水位雨量在线监测系统概述及应用介绍

在当今社会,随着科技的飞速发展,各种智能监测系统已成为保障公共安全、促进资源管理和环境保护的重要工具。其中,水位雨量在线监测系统作为自然灾害预警、水资源管理及水利工程运行的关键技术,其重要性不言而喻。 一、水位雨量在线监测系统的基本原理 水位雨量在线监测系统主要由数据采集单元、数据传输网络、数据处理中心及用户终端四大部分构成,形成了一个完整的闭环系统。 数据采集单元:这是系统的“眼睛”,

性能分析之MySQL索引实战案例

文章目录 一、前言二、准备三、MySQL索引优化四、MySQL 索引知识回顾五、总结 一、前言 在上一讲性能工具之 JProfiler 简单登录案例分析实战中已经发现SQL没有建立索引问题,本文将一起从代码层去分析为什么没有建立索引? 开源ERP项目地址:https://gitee.com/jishenghua/JSH_ERP 二、准备 打开IDEA找到登录请求资源路径位置

嵌入式QT开发:构建高效智能的嵌入式系统

摘要: 本文深入探讨了嵌入式 QT 相关的各个方面。从 QT 框架的基础架构和核心概念出发,详细阐述了其在嵌入式环境中的优势与特点。文中分析了嵌入式 QT 的开发环境搭建过程,包括交叉编译工具链的配置等关键步骤。进一步探讨了嵌入式 QT 的界面设计与开发,涵盖了从基本控件的使用到复杂界面布局的构建。同时也深入研究了信号与槽机制在嵌入式系统中的应用,以及嵌入式 QT 与硬件设备的交互,包括输入输出设

电力系统中的A类在线监测装置—APView400

随着电力系统的日益复杂和人们对电能质量要求的提高,电能质量在线监测装置在电力系统中得到广泛应用。目前,市场上的在线监测装置主要分为A类和B类两种类型,A类和B类在线监测装置主要区别在于应用场景、技术参数、通讯协议和扩展性。选择时应根据实际需求和应用场景综合考虑,并定期维护和校准。电能质量在线监测装置是用于实时监测电力系统中的电能质量参数的设备。 APView400电能质量A类在线监测装置以其多核

OpenHarmony鸿蒙开发( Beta5.0)无感配网详解

1、简介 无感配网是指在设备联网过程中无需输入热点相关账号信息,即可快速实现设备配网,是一种兼顾高效性、可靠性和安全性的配网方式。 2、配网原理 2.1 通信原理 手机和智能设备之间的信息传递,利用特有的NAN协议实现。利用手机和智能设备之间的WiFi 感知订阅、发布能力,实现了数字管家应用和设备之间的发现。在完成设备间的认证和响应后,即可发送相关配网数据。同时还支持与常规Sof

C#实战|大乐透选号器[6]:实现实时显示已选择的红蓝球数量

哈喽,你好啊,我是雷工。 关于大乐透选号器在前面已经记录了5篇笔记,这是第6篇; 接下来实现实时显示当前选中红球数量,蓝球数量; 以下为练习笔记。 01 效果演示 当选择和取消选择红球或蓝球时,在对应的位置显示实时已选择的红球、蓝球的数量; 02 标签名称 分别设置Label标签名称为:lblRedCount、lblBlueCount

6.1.数据结构-c/c++堆详解下篇(堆排序,TopK问题)

上篇:6.1.数据结构-c/c++模拟实现堆上篇(向下,上调整算法,建堆,增删数据)-CSDN博客 本章重点 1.使用堆来完成堆排序 2.使用堆解决TopK问题 目录 一.堆排序 1.1 思路 1.2 代码 1.3 简单测试 二.TopK问题 2.1 思路(求最小): 2.2 C语言代码(手写堆) 2.3 C++代码(使用优先级队列 priority_queue)

滚雪球学Java(87):Java事务处理:JDBC的ACID属性与实战技巧!真有两下子!

咦咦咦,各位小可爱,我是你们的好伙伴——bug菌,今天又来给大家普及Java SE啦,别躲起来啊,听我讲干货还不快点赞,赞多了我就有动力讲得更嗨啦!所以呀,养成先点赞后阅读的好习惯,别被干货淹没了哦~ 🏆本文收录于「滚雪球学Java」专栏,专业攻坚指数级提升,助你一臂之力,带你早日登顶🚀,欢迎大家关注&&收藏!持续更新中,up!up!up!! 环境说明:Windows 10