基于FPGA的HDMI编码模块设计——OSERDESE2

2023-12-15 22:36

本文主要是介绍基于FPGA的HDMI编码模块设计——OSERDESE2,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

  前文通过ODDR实现了HDMI数据编码的单沿与双沿采样的转换,如下图1所示:
在这里插入图片描述

图1 ODDR实现单沿转双沿

  上图先通过拼接逻辑和并串转换,将10位并行数据先转换为2路串行数据,然后通过ODDR将两路串行的单沿数据转换为1路双沿采样的串行数据。Xilinx还存在一个原语,可以直接将并行的单沿数据转换为串行的双沿采样数据,与ODDR复用相同的硬件资源OLOGIC,就是OSERDESE2原语。

  前文已经对该原语做了详细讲解和仿真,本文通过该原语简化dvi_transmitter模块的代码设计,对应的框图如下图2所示:
在这里插入图片描述

图2 OSERDESE2实现转换

  serializer_10_to_1模块内部封装了两个OSERDESE2原语,把10位单沿采样的并行数据转换为双沿采样的串行数据,并行数据与clk对齐,串行数据与clk_5x对齐,clk_5x的频率是clk的5倍。

  dvi_transmitter模块模块的参考代码如下所示:

module dvi_transmitter(input                   clk         ,//系统时钟信号,input                   clk_5x      ,//频率为系统时钟5倍的时钟信号;input                   rst         ,//系统复位,高电平有效;input   [23 : 0]        video_din   ,//RGB888视频输入信号;input                   video_hsync ,//行同步信号;input                   video_vsync ,//场同步信号;input                   video_de    ,//像素使能信号;output                  tmds_clk_p  ,// TMDS 时钟通道output                  tmds_clk_n  ,output  [2 : 0]         tmds_data_p ,// TMDS 数据通道output  [2 : 0]         tmds_data_n ,output                  tmds_oen     // TMDS 输出使能
); wire [9 : 0] tms_out    [3 : 0]         ;wire [3 : 0]            tmds_data_serial;wire [3 : 0]            tmds_out_p      ;wire [3 : 0]            tmds_out_n      ;assign tmds_oen = 1'b1;//将双向的HDMI接口设置为输出。//对三个颜色通道进行编码dvi_tmds_encoder u_dvi_tmds_b (.clk    (clk            ),//系统时钟信号;.rst    (rst            ),//系统复位信号,高电平有效;.din    (video_din[7:0] ),//输入待编码数据;.c0	    (video_hsync    ),//控制信号C0;.c1	    (video_vsync    ),//控制信号c1;.de	    (video_de       ),//输入数据有效指示信号;;.q_out  (tms_out[0][9:0]) //编码输出数据;);dvi_tmds_encoder u_dvi_tmds_g (.clk    (clk            ),.rst    (rst            ),.din    (video_din[15:8]),.c0     (1'b0           ),.c1     (1'b0           ),.de     (video_de       ),.q_out  (tms_out[1][9:0]));dvi_tmds_encoder u_dvi_tmds_r (.clk    (clk            ),.rst    (rst            ),.din    (video_din[23:16]),.c0	    (1'b0           ),.c1	    (1'b0           ),.de	    (video_de       ),.q_out  (tms_out[2][9:0]));assign tms_out[3][9 : 0] = 10'b11_1110_0000;//时钟信号编码后的数据为10'b11_1110_0000;generategenvar i;for(i=0 ; i<4 ; i = i + 1)begin : SER//对编码后的数据进行并串转换;serializer_10_to_1 u_serializer(.rst                (rst                ),// 复位,高有效.clk                (clk                ),// 输入并行数据时钟.clk_5x             (clk_5x             ),// 输入串行数据时钟.paralell_data      (tms_out[i][9:0]    ),// 输入并行数据.serial_data_out    (tmds_data_serial[i]) // 输出串行数据);//转换差分信号;OBUFDS #(.IOSTANDARD ("TMDS_33"  )//I/O电平标准为TMDS)TMDS0 (.I  (tmds_data_serial[i]),.O  (tmds_out_p[i]     ),.OB (tmds_out_n[i]     ) );endendgenerateassign tmds_clk_p = tmds_out_p[3];assign tmds_clk_n = tmds_out_n[3];assign tmds_data_p = tmds_out_p[2 : 0];assign tmds_data_n = tmds_out_n[2 : 0];endmodule

  一个OSERDESE2原语最多只能把8位并行数据转换为串行数据输出,此处需要将10位并行数据转换位串行数据,所以需要两个OSERDESE2原语级联,关于OSERDESE2原语的使用方法请查看前文对该原语的讲解及仿真。此处级联的框图如下所示:
在这里插入图片描述

图3 OSERDESE2级联框图

  对应代码如下所示:

module serializer_10_to_1(input           rst             ,//复位,高有效;input           clk             ,//输入并行数据时钟;input           clk_5x          ,//输入串行数据时钟;input   [9:0]   paralell_data   ,//输入并行数据;output 			serial_data_out  //输出串行数据;
);wire	[1 : 0] cascade        ;//两个OSERDESE2级联的信号;//例化OSERDESE2原语,实现并串转换,Master模式;OSERDESE2 #(.DATA_RATE_OQ   ( "DDR"     ),//设置双倍数据速率;.DATA_RATE_TQ   ( "SDR"     ),//DDR, BUF, SDR;.DATA_WIDTH     ( 10        ),//Parallel data width (2-8,10,14);.SERDES_MODE    ( "MASTER"  ),//设置为Master,用于10bit宽度扩展;.TBYTE_CTL      ( "FALSE"   ),//Enable tristate byte operation (FALSE, TRUE);.TBYTE_SRC      ( "FALSE"   ),//Tristate byte source (FALSE, TRUE);.TRISTATE_WIDTH ( 1         ) //3-state converter width (1,4);)OSERDESE2_Master (.CLK        ( clk_5x            ),//串行数据时钟,5倍时钟频率;.CLKDIV     ( clk               ),//并行数据时钟;.RST        ( rst               ),//1-bit input: Reset;.OCE        ( 1'b1              ),//1-bit input: Output data clock enable;.OQ         ( serial_data_out   ),//串行输出数据;.D1         ( paralell_data[0]  ),//D1 - D8: 并行数据输入;.D2         ( paralell_data[1]  ),.D3         ( paralell_data[2]  ),.D4         ( paralell_data[3]  ),.D5         ( paralell_data[4]  ),.D6         ( paralell_data[5]  ),.D7         ( paralell_data[6]  ),.D8         ( paralell_data[7]  ),.SHIFTIN1   ( cascade[0]        ),//SHIFTIN1 用于位宽扩展;.SHIFTIN2   ( cascade[1]        ),//SHIFTIN2;.SHIFTOUT1  (                   ),//SHIFTOUT1: 用于位宽扩展;.SHIFTOUT2  (                   ),//SHIFTOUT2;.OFB        (                   ),//以下是未使用信号;.T1         ( 1'b0              ),//T1 - T4: 1-bit (each) input: Parallel 3-state inputs;.T2         ( 1'b0              ),.T3         ( 1'b0              ),.T4         ( 1'b0              ),.TBYTEIN    ( 1'b0              ),//1-bit input: Byte group tristate;.TCE        ( 1'b0              ),//1-bit input: 3-state clock enable;.TBYTEOUT   (                   ),//1-bit output: Byte group tristate;.TFB        (                   ),//1-bit output: 3-state control;.TQ         (                   ) //1-bit output: 3-state control;);//例化OSERDESE2原语,实现并串转换,Slave模式;OSERDESE2 #(.DATA_RATE_OQ   ( "DDR"     ),//设置双倍数据速率;.DATA_RATE_TQ   ( "SDR"     ),//DDR, BUF, SDR;.DATA_WIDTH     ( 10        ),//Parallel data width (2-8,10,14);.SERDES_MODE    ( "SLAVE"   ),//设置为Slave,用于10bit宽度扩展;.TBYTE_CTL      ( "FALSE"   ),//Enable tristate byte operation (FALSE, TRUE);.TBYTE_SRC      ( "FALSE"   ),//Tristate byte source (FALSE, TRUE);.TRISTATE_WIDTH ( 1         ) //3-state converter width (1,4);)OSERDESE2_Slave (.CLK        ( clk_5x            ),//串行数据时钟,5倍时钟频率;.CLKDIV     ( clk               ),//并行数据时钟;.RST        ( rst               ),//1-bit input: Reset;.OCE        ( 1'b1              ),//1-bit input: Output data clock enable;.OQ         (                   ),//串行输出数据;.D1         ( 1'b0              ),//D1 - D8: 并行数据输入;.D2         ( 1'b0              ),.D3         ( paralell_data[8]  ),.D4         ( paralell_data[9]  ),.D5         ( 1'b0              ),.D6         ( 1'b0              ),.D7         ( 1'b0              ),.D8         ( 1'b0              ),.SHIFTIN1   (                   ),//SHIFTIN1 用于位宽扩展;.SHIFTIN2   (                   ),//SHIFTIN2;.SHIFTOUT1  ( cascade[0]        ),//SHIFTOUT1: 用于位宽扩展;.SHIFTOUT2  ( cascade[1]        ),//SHIFTOUT2;.OFB        (                   ),//以下是未使用信号;.T1         ( 1'b0              ),//T1 - T4: 1-bit (each) input: Parallel 3-state inputs;.T2         ( 1'b0              ),.T3         ( 1'b0              ),.T4         ( 1'b0              ),.TBYTEIN    ( 1'b0              ),//1-bit input: Byte group tristate;.TCE        ( 1'b0              ),//1-bit input: 3-state clock enable;.TBYTEOUT   (                   ),//1-bit output: Byte group tristate;.TFB        (                   ),//1-bit output: 3-state control;.TQ         (                   ) //1-bit output: 3-state control;);endmodule

  将上述实现的dvi_transmitter模块替换FPGA实现HDMI编码接口的dvi_transmitter模块,图4是serializer_10_to_1模块的仿真结果,根据前文对OSERDESE2的讲解,在10:1双沿转换情况下,输出数据相对输入数据会延迟4个clk_5x时钟,下图中第一个clk上升沿采集数据为10’b1000000000,经过4个clk_5x周期后,开始输出采集数据最低位,在clk_5x每个边沿输出一位采集的数据,一次输出0000000001,故仿真正确。

在这里插入图片描述

图4 serializer_10_to_1模块仿真

  dvi_transmitter模块仿真结果如下图5所示,与使用ODDR仿真时基本一致,不再赘述,详情打开工程后自行仿真。

在这里插入图片描述

图5 dvi_transmitter模块仿真

  工程上板程序如图6所示,与ODDR的工程一致。

在这里插入图片描述

图6 上板结果

  使用OSERDESE2原语比ODDR原语更加简单,所以更加推荐。

  需要本文工程在后台回复”基于FPGA的HDMI接口设计”(不包括引号),选择OSERDESE2实现的文件即可。

这篇关于基于FPGA的HDMI编码模块设计——OSERDESE2的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/498146

相关文章

不懂推荐算法也能设计推荐系统

本文以商业化应用推荐为例,告诉我们不懂推荐算法的产品,也能从产品侧出发, 设计出一款不错的推荐系统。 相信很多新手产品,看到算法二字,多是懵圈的。 什么排序算法、最短路径等都是相对传统的算法(注:传统是指科班出身的产品都会接触过)。但对于推荐算法,多数产品对着网上搜到的资源,都会无从下手。特别当某些推荐算法 和 “AI”扯上关系后,更是加大了理解的难度。 但,不了解推荐算法,就无法做推荐系

python: 多模块(.py)中全局变量的导入

文章目录 global关键字可变类型和不可变类型数据的内存地址单模块(单个py文件)的全局变量示例总结 多模块(多个py文件)的全局变量from x import x导入全局变量示例 import x导入全局变量示例 总结 global关键字 global 的作用范围是模块(.py)级别: 当你在一个模块(文件)中使用 global 声明变量时,这个变量只在该模块的全局命名空

深入探索协同过滤:从原理到推荐模块案例

文章目录 前言一、协同过滤1. 基于用户的协同过滤(UserCF)2. 基于物品的协同过滤(ItemCF)3. 相似度计算方法 二、相似度计算方法1. 欧氏距离2. 皮尔逊相关系数3. 杰卡德相似系数4. 余弦相似度 三、推荐模块案例1.基于文章的协同过滤推荐功能2.基于用户的协同过滤推荐功能 前言     在信息过载的时代,推荐系统成为连接用户与内容的桥梁。本文聚焦于

怎么让1台电脑共享给7人同时流畅设计

在当今的创意设计与数字内容生产领域,图形工作站以其强大的计算能力、专业的图形处理能力和稳定的系统性能,成为了众多设计师、动画师、视频编辑师等创意工作者的必备工具。 设计团队面临资源有限,比如只有一台高性能电脑时,如何高效地让七人同时流畅地进行设计工作,便成为了一个亟待解决的问题。 一、硬件升级与配置 1.高性能处理器(CPU):选择多核、高线程的处理器,例如Intel的至强系列或AMD的Ry

基于51单片机的自动转向修复系统的设计与实现

文章目录 前言资料获取设计介绍功能介绍设计清单具体实现截图参考文献设计获取 前言 💗博主介绍:✌全网粉丝10W+,CSDN特邀作者、博客专家、CSDN新星计划导师,一名热衷于单片机技术探索与分享的博主、专注于 精通51/STM32/MSP430/AVR等单片机设计 主要对象是咱们电子相关专业的大学生,希望您们都共创辉煌!✌💗 👇🏻 精彩专栏 推荐订阅👇🏻 单片机

C++ | Leetcode C++题解之第393题UTF-8编码验证

题目: 题解: class Solution {public:static const int MASK1 = 1 << 7;static const int MASK2 = (1 << 7) + (1 << 6);bool isValid(int num) {return (num & MASK2) == MASK1;}int getBytes(int num) {if ((num &

C语言 | Leetcode C语言题解之第393题UTF-8编码验证

题目: 题解: static const int MASK1 = 1 << 7;static const int MASK2 = (1 << 7) + (1 << 6);bool isValid(int num) {return (num & MASK2) == MASK1;}int getBytes(int num) {if ((num & MASK1) == 0) {return

SprinBoot+Vue网络商城海鲜市场的设计与实现

目录 1 项目介绍2 项目截图3 核心代码3.1 Controller3.2 Service3.3 Dao3.4 application.yml3.5 SpringbootApplication3.5 Vue 4 数据库表设计5 文档参考6 计算机毕设选题推荐7 源码获取 1 项目介绍 博主个人介绍:CSDN认证博客专家,CSDN平台Java领域优质创作者,全网30w+

form表单提交编码的问题

浏览器在form提交后,会生成一个HTTP的头部信息"content-type",标准规定其形式为Content-type: application/x-www-form-urlencoded; charset=UTF-8        那么我们如果需要修改编码,不使用默认的,那么可以如下这样操作修改编码,来满足需求: hmtl代码:   <meta http-equiv="Conte

Jenkins构建Maven聚合工程,指定构建子模块

一、设置单独编译构建子模块 配置: 1、Root POM指向父pom.xml 2、Goals and options指定构建模块的参数: mvn -pl project1/project1-son -am clean package 单独构建project1-son项目以及它所依赖的其它项目。 说明: mvn clean package -pl 父级模块名/子模块名 -am参数