Verilog inout 端口使用和仿真

2023-12-02 13:44

本文主要是介绍Verilog inout 端口使用和仿真,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

inout端口是Verilog中一种特殊的端口类型,它可以实现双向的数据传输,既可以作为输入,也可以作为输出。inout端口通常用于实现管脚复用、三态缓冲器、总线驱动等功能。inout端口的使用需要注意以下几个方面:

  • inout端口必须声明为wire类型,不能声明为reg类型,因为reg类型的变量不能被多个驱动源赋值,而inout端口可能会有多个驱动源。

  • inout端口必须使用assign语句来赋值,不能在always块中赋值,因为always块中的赋值会产生隐式的锁存器,而inout端口需要使用显式的三态门来控制。

  • inout端口的赋值必须使用三态表达式,即根据一个控制信号来选择输出一个具体的值或者高阻态。高阻态表示inout端口不输出任何值,而是作为输入使用。三态表达式的一般形式为:assign inout_port = control ? value : 1’bz; 其中control是一个布尔型的控制信号,value是一个具体的值,1’bz表示高阻态。高阻态时,inout 端口用作输入。

  • 可选的:inout端口作为输入时,必须有一个reg类型的缓冲变量来存储输入的值,不能直接使用inout端口的值。这样可以避免inout端口作为输出时,输出的值影响到输入的电路。缓冲变量的赋值也需要一个控制信号来控制,一般是在always块中使用if语句来实现。

下面是一个使用inout端口的Verilog代码的示例,该代码实现了一个简单的三态缓冲器,可以根据一个使能信号来选择输出一个输入信号或者高阻态。

// 协议解析状态机
module pt_fsm
(input	wire 			sys_clk		, // 系统时钟input	wire			sys_rst_n	, // 复位信号,低电平有效input   wire            data_flag	, // 数据有效标志,上升沿有效input	wire			rd_en		, // 上位机读取回复标志信号,低电平有效inout	wire	[7:0]	mcu_data		, // 输入数据output  reg		[31:0]  cmd_rcv		, // 接收到的一帧命令output  reg				cmd_flag	, // 命令有效标志。每接收到一帧命令,拉高一个时钟周期output  reg				led_out,output	reg		[31:0]	freq		  // 要设置的频率
);// inout 端口用作输入时为高阻态,用作输出时从相应的缓冲寄存器里取值
reg [7:0] mcu_data_reg; // inout 端口用作输出时的缓冲寄存器
reg dir; // dir=1 mcu_data 用于输出。dir=0时,高阻态,mcu_data 用于输入
assign mcu_data = (dir == 1) ? mcu_data_reg : 8'bz;// -----------------inout 端口 mcu_data 用作输入--------------------------
always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)beginstate <= IDLE;packet <= 32'd0;endelse case(state)IDLE:	if((data_flag_pos == 1'b1) && (mcu_data == 8'h55)) // 收到 0x55, 进入下一个状态beginstate <= ONE; packet[31:24] 	<= mcu_data;endelse 					// 没有收到数据,或者收到非 0x55 保持状态不变state <= IDLE;ONE:	if(data_flag_pos == 1'b1)// 收到任意字节数据,进入下一个状态beginstate <= TWO;packet[23:16] 	<= mcu_data; //保存接收到的数据endelse					state <= ONE;TWO:	if(data_flag_pos == 1'b1) 	// 收到任意字节数据,进入下一个状态beginstate <= THREE;packet[15:8] 	<= mcu_data;endelse					state <= TWO;THREE:	if(data_flag_pos == 1'b1) 	// 收到任意字节数据,进入下一个状态beginstate <= FOUR;packet[7:0]		<= mcu_data;endelse					state <= THREE;FOUR:   state <= IDLE;          // 直接进入空闲状态,不做任何操作, 该状态只维持一个时钟周期default: state <= IDLE;endcase// ---------------inout 端口 mcu_data 用作输出--------------------------
// 对输出数据进行赋值
always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)mcu_data_reg <= 8'h00;else if(rd_ne == 1'b1)case(res_cnt) // 根据情况,回复相应数据4'd0: mcu_data_reg <= cmd_rcv[31:24];4'd1: mcu_data_reg <= cmd_rcv[23:16];4'd2: mcu_data_reg <= cmd_rcv[16:8];4'd3: mcu_data_reg <= cmd_rcv[7:0];endcase

inout 端口仿真时和使用类似,首先,我们需要将inout端口声明为wire型,例化的时候与设计文件连接,其次,我们要分别模拟input和output的行为,读取的时间高阻态,发送的时间有相对应的值。下面是仿真代码:

`timescale 1ns/1ns
module tb_dds_ctrl();// 模拟产生三路信号
reg			sys_clk;
reg			sys_rst_n;
reg	[3:0]	wave_sel;
reg			wr; // 写使能,高电平有效
reg			rd_en; // 写使能,低电平有效wire [7:0]  dac_data;
wire		led_out;//因此我们没有办法在testbench中将其声明为inout端口,为了在testbench中体现inout,
//首先,我们需要将inout端口声明为wire型,例化的时候与设计文件连接,
//其次,我们要分别模拟input和output的行为,读取的时间高阻态,发送的时间有相对应的值,
wire	[7:0]	mcu_data; // bidirectional signal from DUT
reg		[7:0] 	mcu_data_drive; // locally driven value
reg dir;
assign mcu_data = (dir == 1'b1) ? mcu_data_drive : 8'hZZ;initialbegindir = 1'b1;sys_clk = 1'b1;sys_rst_n = 1'b0;wave_sel = 4'b0000;rd_en = 1'b1; // 拉高读信号,禁止读wr    = 1'b0; // 拉低写信号,禁止写//mcu_data_drive <= 8'h34;mcu_data_drive = 8'b0;#200 // 等待200个时间单位sys_rst_n = 1'b1; // 复位信号拉高#20wave_sel = 4'b0001; // 应该输出正弦波/* #8000000wave_sel <= 4'b0010; // 方波信号#8000000wave_sel <= 4'b0100; // 三角信号#8000000wave_sel <= 4'b1000; // 锯齿波信号#8000000wave_sel <= 4'b0000; // 最后信号回到初始值 */#100wr = 1'b0; // 先拉低,因为高电平有效#20 mcu_data_drive = 8'h55;#20wr = 1'b1; // 拉高写使能#20wr = 1'b0;#20 mcu_data_drive = 8'h01; // 发送第二个字节#20wr = 1'b1; // 拉高写使能#20wr = 1'b0;#20 mcu_data_drive = 8'h86; // 发送第三个字节#20wr = 1'b1; // 拉高写使能#20wr = 1'b0;#20 mcu_data_drive = 8'ha0; // 发送第四个字节#20wr = 1'b1; // 拉高写使能#20wr = 1'b0;//---------------------下面模拟接收#20dir = 1'b0; // 方向改为输出#100 // 模拟上位机开始读取回复rd_en = 1'b0;#30rd_en = 1'b1; // 拉高使能信号#20 // 读取第二个字节rd_en = 1'b0;#20rd_en = 1'b1;#20 // 读取第三个字节rd_en = 1'b0;#20rd_en = 1'b1;#20 // 读取第四个字节rd_en = 1'b0;#20rd_en = 1'b1;#20dir = 1'b1; // 方向改为输入// 在发送一个字节的数据,看看 inout 变量 mcu_data 接收是否正常#20 mcu_data_drive = 8'h55;#20wr = 1'b1; // 拉高写使能#20wr = 1'b0;end// 模拟产生 50MHz 是时钟信号
always #10 sys_clk = ~sys_clk;// 实例化要仿真的模块
dds_ctrl dds_ctrl_inst
(.sys_clk	(sys_clk), // 系统时钟.sys_rst_n	(sys_rst_n), // 复位信号.wave_sel	(wave_sel), // 波形选择信号.wr			(wr),.rd_en		(rd_en),.mcu_data	(mcu_data),  // inout 型端口.led_out	(led_out),.dac_data	(dac_data)	// 输出信号
);endmodule

下面是仿真波形:

 需要注意的时,同一个 inout 端口信号,只能在一个模块文件中使用,不能在多个仿真文件中使用,否则会出现仿真错误。这也导致一个问题,就是接收和发送不能分开处理,导致模块臃肿。

加作者薇信:jiyuyun18, 交流电子技术

留言:CSDN FPGA 入群,加入 FPGA 技术交流群

 

这篇关于Verilog inout 端口使用和仿真的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/445563

相关文章

中文分词jieba库的使用与实景应用(一)

知识星球:https://articles.zsxq.com/id_fxvgc803qmr2.html 目录 一.定义: 精确模式(默认模式): 全模式: 搜索引擎模式: paddle 模式(基于深度学习的分词模式): 二 自定义词典 三.文本解析   调整词出现的频率 四. 关键词提取 A. 基于TF-IDF算法的关键词提取 B. 基于TextRank算法的关键词提取

使用SecondaryNameNode恢复NameNode的数据

1)需求: NameNode进程挂了并且存储的数据也丢失了,如何恢复NameNode 此种方式恢复的数据可能存在小部分数据的丢失。 2)故障模拟 (1)kill -9 NameNode进程 [lytfly@hadoop102 current]$ kill -9 19886 (2)删除NameNode存储的数据(/opt/module/hadoop-3.1.4/data/tmp/dfs/na

Hadoop数据压缩使用介绍

一、压缩原则 (1)运算密集型的Job,少用压缩 (2)IO密集型的Job,多用压缩 二、压缩算法比较 三、压缩位置选择 四、压缩参数配置 1)为了支持多种压缩/解压缩算法,Hadoop引入了编码/解码器 2)要在Hadoop中启用压缩,可以配置如下参数

Makefile简明使用教程

文章目录 规则makefile文件的基本语法:加在命令前的特殊符号:.PHONY伪目标: Makefilev1 直观写法v2 加上中间过程v3 伪目标v4 变量 make 选项-f-n-C Make 是一种流行的构建工具,常用于将源代码转换成可执行文件或者其他形式的输出文件(如库文件、文档等)。Make 可以自动化地执行编译、链接等一系列操作。 规则 makefile文件

使用opencv优化图片(画面变清晰)

文章目录 需求影响照片清晰度的因素 实现降噪测试代码 锐化空间锐化Unsharp Masking频率域锐化对比测试 对比度增强常用算法对比测试 需求 对图像进行优化,使其看起来更清晰,同时保持尺寸不变,通常涉及到图像处理技术如锐化、降噪、对比度增强等 影响照片清晰度的因素 影响照片清晰度的因素有很多,主要可以从以下几个方面来分析 1. 拍摄设备 相机传感器:相机传

pdfmake生成pdf的使用

实际项目中有时会有根据填写的表单数据或者其他格式的数据,将数据自动填充到pdf文件中根据固定模板生成pdf文件的需求 文章目录 利用pdfmake生成pdf文件1.下载安装pdfmake第三方包2.封装生成pdf文件的共用配置3.生成pdf文件的文件模板内容4.调用方法生成pdf 利用pdfmake生成pdf文件 1.下载安装pdfmake第三方包 npm i pdfma

零基础学习Redis(10) -- zset类型命令使用

zset是有序集合,内部除了存储元素外,还会存储一个score,存储在zset中的元素会按照score的大小升序排列,不同元素的score可以重复,score相同的元素会按照元素的字典序排列。 1. zset常用命令 1.1 zadd  zadd key [NX | XX] [GT | LT]   [CH] [INCR] score member [score member ...]

git使用的说明总结

Git使用说明 下载安装(下载地址) macOS: Git - Downloading macOS Windows: Git - Downloading Windows Linux/Unix: Git (git-scm.com) 创建新仓库 本地创建新仓库:创建新文件夹,进入文件夹目录,执行指令 git init ,用以创建新的git 克隆仓库 执行指令用以创建一个本地仓库的

【北交大信息所AI-Max2】使用方法

BJTU信息所集群AI_MAX2使用方法 使用的前提是预约到相应的算力卡,拥有登录权限的账号密码,一般为导师组共用一个。 有浏览器、ssh工具就可以。 1.新建集群Terminal 浏览器登陆10.126.62.75 (如果是1集群把75改成66) 交互式开发 执行器选Terminal 密码随便设一个(需记住) 工作空间:私有数据、全部文件 加速器选GeForce_RTX_2080_Ti

【Linux 从基础到进阶】Ansible自动化运维工具使用

Ansible自动化运维工具使用 Ansible 是一款开源的自动化运维工具,采用无代理架构(agentless),基于 SSH 连接进行管理,具有简单易用、灵活强大、可扩展性高等特点。它广泛用于服务器管理、应用部署、配置管理等任务。本文将介绍 Ansible 的安装、基本使用方法及一些实际运维场景中的应用,旨在帮助运维人员快速上手并熟练运用 Ansible。 1. Ansible的核心概念