vivado:调试工具原理及实现学习总结(调用IP核,生成IP,例化,调试)

2023-11-08 13:59

本文主要是介绍vivado:调试工具原理及实现学习总结(调用IP核,生成IP,例化,调试),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

内容:调用vivado提供的IP核,生成用户定制的IP,并通过HDL语言进行例化。然后通过提供的调试功能对设计进行调试,分析调试结果。
环境:VIVADO 2018.2
语言:Verilog HDL
参考书目:Xilinx FPGA权威设计指南 -Vivado 2014集成开发环境

  • 创建新的fifo调试工程
    创建工程,project name:fifo_verilog,芯片参考选择xc7a100tcsg324_1。

  • 添加FIFO IP到设计中
    在project manager中单击IP catalog,在搜索框中输入FIFO,选择并双击FIFO Generator进入参数设置在这里插入图片描述
    如图在‘Basic’以及‘Native ports’设置参数,其他默认。
    在这里插入图片描述
    在这里插入图片描述
    随后弹出“Generate Output Producta”对话框,点击Generate.

在这里插入图片描述
在IP Sources出口下,找到并单击fifo_generate_0.veo文件,可见verilog例化模板代码:

fifo_generator_0 your_instance_name (.clk(clk),      // input wire clk.srst(srst),    // input wire srst.din(din),      // input wire [7 : 0] din.wr_en(wr_en),  // input wire wr_en.rd_en(rd_en),  // input wire rd_en.dout(dout),    // output wire [7 : 0] dout.full(full),    // output wire full.empty(empty)  // output wire empty
);
  • 添加顶层设计文件
    点击Add Sources,进行add or creat design sources,设置file name:top 进行创建。其中top.v的源文件代码为:
module top(
input wire rd_trig,
input wire rst,
input wire clk,
input wire wr_trig,
output wire [7:0]dout,
output wire empty,
output wire full);
reg [7:0]data_in[5:0];
initial
begindata_in[15]=8'h0f; data_in[14]=8'h0e;data_in[13]=8'h0d;data_in[12]=8'h0c;data_in[11]=8'h0b;data_in[15]=8'h0a;data_in[15]=8'h09;data_in[15]=8'h08;data_in[7]=8'h07;data_in[6]=8'h06;data_in[6]=8'h05;data_in[4]=8'h04;data_in[3]=8'h03;data_in[2]=8'h02;data_in[1]=8'h01;data_in[0]=8'h00; 
end
reg[1:0]next_state;
parameter ini=2'b00,wr_fifo=2'b01,ready=2'b11,rd_fifo=2'b10;
reg wr_en;
reg rd_en;reg[7:0] din;reg[3:0] j;
fifo_generator_0 Inst_fifo1 (.clk(clk),      // input wire clk.srst(srst),    // input wire srst.din(din),      // input wire [7 : 0] din.wr_en(wr_en),  // input wire wr_en.rd_en(rd_en),  // input wire rd_en.dout(dout),    // output wire [7 : 0] dout.full(full),    // output wire full.empty(empty)  // output wire empty);  always@(posedge rst or posedge clk)beginif(rst)beginnext_state<=ini;j<=0;rd_en<=1'b0;wr_en<=1'b0;endelsebegincase(next_state)ini:beginj<=0;rd_en<=1'b0;if(wr_trig==1'b1)next_state<=wr_fifo;endwr_fifo:begindin<=data_in[j];if(j==15)next_state<=ready;elsebeginj<=j+1;wr_en<=1'b1;next_state<=wr_fifo;   end           endready:beginj<=0;wr_en<=1'b0;if(rd_trig==1'b1)next_state<=rd_fifo;elsenext_state<=ready;         endrd_fifo:beginif(j==15)next_state<=ini;elsebeginj<=j+1;rd_en<=1'b1;next_state<=rd_fifo;   end           endendcaseend
end 
endmodule
  • 添加约束文件
    方法一:
    Add Sources>add or create constraints,设置file name:top,创建约束文件。对top.v先进行Run synthesis,跳出的对话框选择open synthesised design,点击ok。在下拉框选择I/O Planning。
    在这里插入图片描述
    在下方出现I/O Ports窗口,选择引脚位置,然后在界面内右键单击,执行Export I/O Ports命令,弹出对话框,选中XDC,将导出路径指向当前工程top.xdc。然后将I/O Planning切换为Default Layout,可在源文件窗口双击打开查看。
    在这里插入图片描述
    方法二:
    双击top.xdc文件,直接进行代码编写:
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports {dout[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dout[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dout[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dout[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dout[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dout[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dout[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dout[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports empty]
set_property IOSTANDARD LVCMOS33 [get_ports full]
set_property IOSTANDARD LVCMOS33 [get_ports rd_trig]
set_property IOSTANDARD LVCMOS33 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_ports wr_trig]
set_property PACKAGE_PIN R7 [get_ports rst]
set_property PACKAGE_PIN U9 [get_ports wr_trig]
set_property PACKAGE_PIN U8 [get_ports rd_trig]
set_property PACKAGE_PIN E3 [get_ports clk]
set_property PACKAGE_PIN U6 [get_ports {dout[7]}]
set_property PACKAGE_PIN U7 [get_ports {dout[6]}]
set_property PACKAGE_PIN T4 [get_ports {dout[5]}]
set_property PACKAGE_PIN T5 [get_ports {dout[4]}]
set_property PACKAGE_PIN T6 [get_ports {dout[3]}]
set_property PACKAGE_PIN R8 [get_ports {dout[2]}]
set_property PACKAGE_PIN V9 [get_ports {dout[1]}]
set_property PACKAGE_PIN T8 [get_ports {dout[0]}]
set_property PACKAGE_PIN P2 [get_ports empty]
set_property PACKAGE_PIN R2 [get_ports full]
  • 网表插入调试探测流程
    添加测试点:
    对top.v进行Run synthesis,跳出的对话框选择open synthesised design,点击ok。在‘Netlist’窗口下列出了所有网络节点。对‘Inst_fifo1’下的dout(8),din,rd_en,wr_en右击选择Mark debug。
    后期可在top.xdc文件中发现新增代码:
set_property MARK_DEBUG true [get_nets {Inst_fifo1/dout[0]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/dout[1]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/dout[2]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/dout[3]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/dout[4]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/dout[5]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/dout[6]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/dout[7]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/din[0]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/din[1]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/din[2]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/din[3]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/din[4]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/din[5]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/din[6]}]
set_property MARK_DEBUG true [get_nets {Inst_fifo1/din[7]}]
set_property MARK_DEBUG true [get_nets Inst_fifo1/rd_en]
set_property MARK_DEBUG true [get_nets Inst_fifo1/wr_en]

设置调试内核参数:
执行命令Tools>Set up Debug,单击NEXT,按下Shift,选择4行需要调试的网络信号,右击执行select clock domain…(按照参考书籍说法,应该选择inst_fifo/clk,但此处没有出现,出现clk_IBUF_BUFC,可能是因为vivado版本问题或我的操作出错)单击ok,为调试网络制定时钟域:
在这里插入图片描述
后期我的top.xdc文件新增代码:

create_debug_core u_ila_0 ila
set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
set_property ALL_PROBE_SAME_MU_CNT 4 [get_debug_cores u_ila_0]
set_property C_ADV_TRIGGER true [get_debug_cores u_ila_0]
set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
set_property C_EN_STRG_QUAL true [get_debug_cores u_ila_0]
set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
set_property port_width 1 [get_debug_ports u_ila_0/clk]
connect_debug_port u_ila_0/clk [get_nets [list clk_IBUF_BUFG]]
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe0]
set_property port_width 8 [get_debug_ports u_ila_0/probe0]
connect_debug_port u_ila_0/probe0 [get_nets [list {Inst_fifo1/din[0]} {Inst_fifo1/din[1]} {Inst_fifo1/din[2]} {Inst_fifo1/din[3]} {Inst_fifo1/din[4]} {Inst_fifo1/din[5]} {Inst_fifo1/din[6]} {Inst_fifo1/din[7]}]]
create_debug_port u_ila_0 probe
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe1]
set_property port_width 8 [get_debug_ports u_ila_0/probe1]
connect_debug_port u_ila_0/probe1 [get_nets [list {Inst_fifo1/dout[0]} {Inst_fifo1/dout[1]} {Inst_fifo1/dout[2]} {Inst_fifo1/dout[3]} {Inst_fifo1/dout[4]} {Inst_fifo1/dout[5]} {Inst_fifo1/dout[6]} {Inst_fifo1/dout[7]}]]
create_debug_port u_ila_0 probe
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe2]
set_property port_width 1 [get_debug_ports u_ila_0/probe2]
connect_debug_port u_ila_0/probe2 [get_nets [list Inst_fifo1/rd_en]]
create_debug_port u_ila_0 probe
set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe3]
set_property port_width 1 [get_debug_ports u_ila_0/probe3]
connect_debug_port u_ila_0/probe3 [get_nets [list Inst_fifo1/wr_en]]
set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
connect_debug_port dbg_hub/clk [get_nets clk_IBUF_BUFG]

点击NEXT,勾选最下方两项复选框,最后Finish。随后生成比特流文件,下载到FPGA,当下载后 出现调试器界面。(我木得板子,此处无图),在调试窗口,找到“basic trigger setup”对话框,在debug probes窗口找到inst_fifo1/rd_en,inst_fifo1/wr_en拖入“basic trigger setup”窗口,将inst_fifo1/rd_en,inst_fifo1/wr_en比较值设为1,单击OR图示图标,执行set trigger condition to Global OR。然后找到ILA Properties,单击运行图标,查看波形。

这篇关于vivado:调试工具原理及实现学习总结(调用IP核,生成IP,例化,调试)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/370315

相关文章

HarmonyOS学习(七)——UI(五)常用布局总结

自适应布局 1.1、线性布局(LinearLayout) 通过线性容器Row和Column实现线性布局。Column容器内的子组件按照垂直方向排列,Row组件中的子组件按照水平方向排列。 属性说明space通过space参数设置主轴上子组件的间距,达到各子组件在排列上的等间距效果alignItems设置子组件在交叉轴上的对齐方式,且在各类尺寸屏幕上表现一致,其中交叉轴为垂直时,取值为Vert

Ilya-AI分享的他在OpenAI学习到的15个提示工程技巧

Ilya(不是本人,claude AI)在社交媒体上分享了他在OpenAI学习到的15个Prompt撰写技巧。 以下是详细的内容: 提示精确化:在编写提示时,力求表达清晰准确。清楚地阐述任务需求和概念定义至关重要。例:不用"分析文本",而用"判断这段话的情感倾向:积极、消极还是中性"。 快速迭代:善于快速连续调整提示。熟练的提示工程师能够灵活地进行多轮优化。例:从"总结文章"到"用

【前端学习】AntV G6-08 深入图形与图形分组、自定义节点、节点动画(下)

【课程链接】 AntV G6:深入图形与图形分组、自定义节点、节点动画(下)_哔哩哔哩_bilibili 本章十吾老师讲解了一个复杂的自定义节点中,应该怎样去计算和绘制图形,如何给一个图形制作不间断的动画,以及在鼠标事件之后产生动画。(有点难,需要好好理解) <!DOCTYPE html><html><head><meta charset="UTF-8"><title>06

学习hash总结

2014/1/29/   最近刚开始学hash,名字很陌生,但是hash的思想却很熟悉,以前早就做过此类的题,但是不知道这就是hash思想而已,说白了hash就是一个映射,往往灵活利用数组的下标来实现算法,hash的作用:1、判重;2、统计次数;

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

AI一键生成 PPT

AI一键生成 PPT 操作步骤 作为一名打工人,是不是经常需要制作各种PPT来分享我的生活和想法。但是,你们知道,有时候灵感来了,时间却不够用了!😩直到我发现了Kimi AI——一个能够自动生成PPT的神奇助手!🌟 什么是Kimi? 一款月之暗面科技有限公司开发的AI办公工具,帮助用户快速生成高质量的演示文稿。 无论你是职场人士、学生还是教师,Kimi都能够为你的办公文

深入探索协同过滤:从原理到推荐模块案例

文章目录 前言一、协同过滤1. 基于用户的协同过滤(UserCF)2. 基于物品的协同过滤(ItemCF)3. 相似度计算方法 二、相似度计算方法1. 欧氏距离2. 皮尔逊相关系数3. 杰卡德相似系数4. 余弦相似度 三、推荐模块案例1.基于文章的协同过滤推荐功能2.基于用户的协同过滤推荐功能 前言     在信息过载的时代,推荐系统成为连接用户与内容的桥梁。本文聚焦于

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi