使用层次化设计方法设计简易电子钟(VHDL描述)

2023-11-01 07:00

本文主要是介绍使用层次化设计方法设计简易电子钟(VHDL描述),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

文章目录

  • 前言
  • 一、如何设计简易电子钟?
  • 二、具体实现
    • 1.设计分频器
    • 2.设计小时计数器(24进制)
    • 3.分钟和秒计数器的设计
    • 4. 动态数码关显示控制模块
    • 4.顶层设计
  • 关于如何生成器件和器件使用及其他
    • 1.生成器件:
    • 2.器件的使用
    • 3.其他


前言

简易的电子钟可用于24时计数。建议电子钟是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,但是需要注意的是,小时的范围是从0~23时。


一、如何设计简易电子钟?

实验的简易电子钟要求的功能具有计时和复位功能。简易电子钟具有四个功能模块。
在这里插入图片描述

二、具体实现

1.设计分频器

代码如下(示例):

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xover isport(clk1HZ : in std_logic;clk1 : out std_logic);
end xover;
architecture behave of xover issignal temp : std_logic;beginprocess(clk1HZ)variable count : integer range 0 to 1000 ;beginif(rising_edge(clk1HZ)) then if count<1000 thencount := count+1;else count := 0;temp <= not temp;end if;end if;clk1<=temp;end process;
end behave;
  • 说明

这里需要说明的是,对于结构体内声明的std_logic类型的型的信号,如果没有被赋值,程序一般默认为‘0’.
分频器相当于一个计数器,上述代码设计的分屏器能再接入1000HZ的时钟后,每到时钟上升沿到来count便增一,当记满1000时,输出1.即实现了1000/f功能。

2.设计小时计数器(24进制)

代码如下(示例):

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count24 isport(clk,rst,en : in std_logic;co : out std_logic;qout : out std_logic_vector( 7 downto 0 )		);
end  count24;
architecture behave of count24 issignal qh,ql : std_logic_vector( 3 downto 0 );signal tco : std_logic;beginprocess( clk,rst,en )beginif(rst='0')thenql<="0000";qh<="0000";elsif(rising_edge(clk))thentco<='0';if(en='1')thenif(ql=3 and ql=2)thenqh<="0000";ql<="0000";tco<='1';elsif (ql=9) thenql<="0000";qh<=qh+1;elseql<=ql+1;end if;end if;elseqh<=qh;ql<=ql;end if;qout<=qh&ql;co<=tco;end process;
end behave;

上述代码将一个两位数的十位和个位的数分开来进行操作,结构体中qh代表十位,ql代表个位。当这类似于一个10进制计数器和一个3进制计数器连接在一起,每当十进制数器产生进位时,三进制计数器才开始计数。

3.分钟和秒计数器的设计

由于分钟和秒的计数器均是60进制计数器,所以仅设计一个就可以了。
代码如下(示例):

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity minORsecond isport(clk,rst,en : in std_logic;co : out std_logic;qout : out std_logic_vector(7 downto 0));
end minORsecond;
architecture behave of minORsecond issignal qh,ql : std_logic_vector(3 downto 0);signal tco : std_logic;beginprocess(clk,rst,en)beginif(rst='0')thenql<="0000";qh<="0000";elsif (rising_edge(clk))thentco<='0';if(en='1')thenif(ql=9)thenif(qh=5)thenql<="0000";qh<="0000";tco<='1';elseqh<=qh+1;ql<="0000";end if;elseql<=ql+1;end if;else qh<=qh;ql<=ql;end if;end if;qout<=qh&ql;co<=tco;end process;
end behave;

原理与小时计数模块大体相同,这里就不做赘述了。

4. 动态数码关显示控制模块

8位动态数码管显示中要决定某一个数码管显示某一个字型,必须由段码和位码决定。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity scanactive isport(clk : in std_logic;h,m,s : in std_logic_vector(7 downto 0);ledag : out std_logic_vector(6 downto 0);sel : out std_logic_vector(2 downto 0));
end scanactive;
architecture behave of scanactive issignal key : std_logic_vector(3 downto 0);signal sell : std_logic_vector(2 downto 0);beginp1 : process(clk)begin if rising_edge(clk) thensell<=sell+1;end if;sel<=sell;end process p1;p2 : process(sell,s,m,h)begincase sell iswhen "111"=>key <= s(3 downto 0);when "110"=>key <= s(7 downto 4);when "101"=>key <= "1010";when "100"=>key <= m(3 downto 0);when "011"=>key <= m(7 downto 4);when "010"=>key <= "1010";when "001"=>key <= h(3 downto 0);when "000"=>key <= h(7 downto 4);when others=>key<="XXXX";end case;end process p2;p3 : process(key)begincase key iswhen "0000"=>ledag<="1111110";when "0001"=>ledag<="0000110";when "0010"=>ledag<="1011011";when "0011"=>ledag<="1001111";when "0100"=>ledag<="1100110";when "0101"=>ledag<="1101101";when "0110"=>ledag<="1111101";when "0111"=>ledag<="0000111";when "1000"=>ledag<="1111111";when "1001"=>ledag<="1101111";when "1010"=>ledag<="0000001";		 when others=>ledag<="0000000";end case;end process p3;
end behave;

上述描述,将分、秒、小时用-来作为连接

when others=> ledag<="0000000";


4.顶层设计

电路图如下
在这里插入图片描述
需要注意的是,输出管脚名需要修改一下,否则编译无法通过
在这里插入图片描述
在这里插入图片描述

关于如何生成器件和器件使用及其他

我们以 Quartus II 13.0sp1 (64-bit)为例

1.生成器件:

在正常编译通过后,我们进行如下操作:
在这里插入图片描述
点击Create Symbol即可生成器件。

2.器件的使用

1.创建BLOCK文件之后我们点击标红的部分:
在这里插入图片描述
2.弹出如下窗口后,我们点击
在这里插入图片描述
3.之后在这个弹窗中选择我们之前编译好的器件
在这里插入图片描述

选中,点击ok按钮。
4.再次点击ok按钮
在这里插入图片描述
这样就可以使用器件了。

3.其他

有的小问号可能会问为什么我按照上述步骤成功画出了电路图,可是程序会报错呢
例如:

Error: Node instance “xxx” instantiates undefined entity “xxx”

让我们来共同解决此问题。
1.在这里插入图片描述
2.在这里插入图片描述
3.在这里插入图片描述
4.在这里插入图片描述
5.在这里插入图片描述
我们将需要添加的器件文件全部add,之后点击ok,再重新编译即可通过。
至此,我们完成了层次化设计方法设计简易电子钟的设计部分。

这篇关于使用层次化设计方法设计简易电子钟(VHDL描述)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!


原文地址:https://blog.csdn.net/weixin_46831482/article/details/110579568
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.chinasem.cn/article/321298

相关文章

mysql删除无用用户的方法实现

《mysql删除无用用户的方法实现》本文主要介绍了mysql删除无用用户的方法实现,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的朋友们下面随着小编来一起学习学习吧... 1、删除不用的账户(1) 查看当前已存在账户mysql> select user,host,pa

Java中Runnable和Callable的区别和联系及使用场景

《Java中Runnable和Callable的区别和联系及使用场景》Java多线程有两个重要的接口,Runnable和Callable,分别提供一个run方法和call方法,二者是有较大差异的,本文... 目录一、Runnable使用场景二、Callable的使用场景三、关于Future和FutureTa

电脑提示msvcp90.dll缺少怎么办? MSVCP90.dll文件丢失的修复方法

《电脑提示msvcp90.dll缺少怎么办?MSVCP90.dll文件丢失的修复方法》今天我想和大家分享的主题是关于在使用软件时遇到的一个问题——msvcp90.dll丢失,相信很多老师在使用电脑时... 在计算机使用过程中,可能会遇到 MSVCP90.dll 丢失的问题。MSVCP90.dll 是 Mic

使用EasyExcel实现简单的Excel表格解析操作

《使用EasyExcel实现简单的Excel表格解析操作》:本文主要介绍如何使用EasyExcel完成简单的表格解析操作,同时实现了大量数据情况下数据的分次批量入库,并记录每条数据入库的状态,感兴... 目录前言固定模板及表数据格式的解析实现Excel模板内容对应的实体类实现AnalysisEventLis

使用国内镜像源优化pip install下载的方法步骤

《使用国内镜像源优化pipinstall下载的方法步骤》在Python开发中,pip是一个不可或缺的工具,用于安装和管理Python包,然而,由于默认的PyPI服务器位于国外,国内用户在安装依赖时可... 目录引言1. 为什么需要国内镜像源?2. 常用的国内镜像源3. 临时使用国内镜像源4. 永久配置国内镜

Mybatis从3.4.0版本到3.5.7版本的迭代方法实现

《Mybatis从3.4.0版本到3.5.7版本的迭代方法实现》本文主要介绍了Mybatis从3.4.0版本到3.5.7版本的迭代方法实现,包括主要的功能增强、不兼容的更改和修复的错误,具有一定的参考... 目录一、3.4.01、主要的功能增强2、selectCursor example3、不兼容的更改二、

Go语言中最便捷的http请求包resty的使用详解

《Go语言中最便捷的http请求包resty的使用详解》go语言虽然自身就有net/http包,但是说实话用起来没那么好用,resty包是go语言中一个非常受欢迎的http请求处理包,下面我们一起来学... 目录安装一、一个简单的get二、带查询参数三、设置请求头、body四、设置表单数据五、处理响应六、超

VSCode中C/C++编码乱码问题的两种解决方法

《VSCode中C/C++编码乱码问题的两种解决方法》在中国地区,Windows系统中的cmd和PowerShell默认编码是GBK,但VSCode默认使用UTF-8编码,这种编码不一致会导致在VSC... 目录问题方法一:通过 Code Runner 插件调整编码配置步骤方法二:在 PowerShell

如何使用C#串口通讯实现数据的发送和接收

《如何使用C#串口通讯实现数据的发送和接收》本文详细介绍了如何使用C#实现基于串口通讯的数据发送和接收,通过SerialPort类,我们可以轻松实现串口通讯,并结合事件机制实现数据的传递和处理,感兴趣... 目录1. 概述2. 关键技术点2.1 SerialPort类2.2 异步接收数据2.3 数据解析2.

详解如何使用Python提取视频文件中的音频

《详解如何使用Python提取视频文件中的音频》在多媒体处理中,有时我们需要从视频文件中提取音频,本文为大家整理了几种使用Python编程语言提取视频文件中的音频的方法,大家可以根据需要进行选择... 目录引言代码部分方法扩展引言在多媒体处理中,有时我们需要从视频文件中提取音频,以便进一步处理或分析。本文