verilog-实现按键消抖模块

2023-10-22 09:59

本文主要是介绍verilog-实现按键消抖模块,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

文章目录

  • 1.按键消抖原理
  • 2.实现方案-状态机(Mealy型)
  • 3.Verilog代码
    • (1)高电平有效的情况
    • (2)低电平有效的情况

1.按键消抖原理

轻触按键:相当于是一种电子开关,按下时开关接通,松开时开关断开,实现原理是通过轻触按键内部的金属弹片受力弹动来实现接通和断开。
image
说明: 如上图,产生的抖动次数以及间隔时间均是不可预期的,这就需要通过滤波来消除抖动可能对外部其他设备造成的影响。一般情况下抖动的总时间会持续20ms以内。这种抖动,可以通过硬电路或者逻辑设计的方式来消除,也可以通过软件的方式完成。其中硬件电路消除抖动适用于按键数目较少的场合。

2.实现方案-状态机(Mealy型)

image

说明:

  • IDLE:时空闲状态
  • FILTER0:按下抖动滤除状态
  • DOWN:按下稳定状态
  • FILTER1:释放抖动滤除状态
  • 采用独热码编码方式,优点:电路速度快;缺点:占用资源。
    image

3.Verilog代码

**ps:**代码中涉及的脉冲边缘检测电路,可以看博主的文章:
脉冲边缘检测电路-verilog实现

(1)高电平有效的情况

高电平有效的Verilog实现
//---------------------------------------------------
//高电平有效
//输出模板  o_key_flag && !o_key_state (一个脉冲)表示按下module key1_filter_module(input                               i_clk                     ,input                               i_rstn                    ,input                               i_key                     ,output reg                          o_key_flag                ,output reg                          o_key_state                  );parameterIDEL        =  4'b0001,FILTER0     =  4'b0010,DOWN        =  4'b0100,FILTER1     =  4'b1000;reg    [3:0]   state                ;
reg    [19:0]  cnt_20ms             ;
reg            en_cnt_20ms          ;//使能计数寄存器
reg            i_key_a,i_key_b      ;
reg            key_tmp_a,key_tmp_b  ;
reg            cnt_20ms_full        ;//计数满标志信号
wire           pedge,nedge          ;//---------------跨时钟域处理,打两个拍子----------------//
always@(posedge i_clk or negedge i_rstn)if(!i_rstn)begini_key_a <= 1'b0;i_key_b <= 1'b0;endelse begini_key_a <= i_key;i_key_b <= i_key_a;end
//-------------边沿监测电路----------------------------//
always@(posedge i_clk or negedge i_rstn)if(!i_rstn)beginkey_tmp_a <= 1'b0;key_tmp_b <= 1'b0;endelse beginkey_tmp_a <= i_key_b;key_tmp_b <= key_tmp_a;endassign nedge = !key_tmp_a &  key_tmp_b  ;
assign pedge = key_tmp_a  & (!key_tmp_b);//------------------------20ms计数器------------------//
always@(posedge i_clk or negedge i_rstn)if(!i_rstn)cnt_20ms <= 20'd0;else if(en_cnt_20ms)cnt_20ms <= cnt_20ms + 1'b1;elsecnt_20ms <= 20'd0;always@(posedge i_clk or negedge i_rstn)if(!i_rstn)cnt_20ms_full <= 1'b0;else if(cnt_20ms == 999_999)cnt_20ms_full <= 1'b1;elsecnt_20ms_full <= 1'b0;//----------------fsm-----------------------------
always@(posedge i_clk or negedge i_rstn)if(!i_rstn)beginen_cnt_20ms <= 1'b0;state       <= IDEL;o_key_flag  <= 1'b0;o_key_state <= 1'b1;endelse begincase(state)IDEL :begino_key_flag <= 1'b0;if(pedge)beginstate       <= FILTER0;en_cnt_20ms <= 1'b1;endelsestate <= IDEL;endFILTER0:if(cnt_20ms_full)begino_key_flag  <= 1'b1;o_key_state <= 1'b0;en_cnt_20ms <= 1'b0;state       <= DOWN;endelse if(nedge)beginstate       <= IDEL;en_cnt_20ms <= 1'b0;endelsestate <= FILTER0;DOWN:begino_key_flag <= 1'b0;if(nedge)beginstate       <= FILTER1;en_cnt_20ms <= 1'b1;endelsestate <= DOWN;endFILTER1:if(cnt_20ms_full)begino_key_flag  <= 1'b1;o_key_state <= 1'b1;en_cnt_20ms <= 1'b0;state       <= IDEL;endelse if(pedge)beginen_cnt_20ms <= 1'b0;state       <= DOWN;endelsestate <= FILTER1;default:beginstate       <= IDEL;en_cnt_20ms <= 1'b0;o_key_flag  <= 1'b0;o_key_state <= 1'b1;endendcaseendendmodule
高电平有效的TESTBENCH
`timescale 1ns / 1psmodule tb_key1_filter_module;//portreg  i_clk  = 1      ; reg  i_rstn = 0      ;reg  i_key           ;wire o_key_flag      ;wire o_key_state     ;key1_filter_module uut (.i_clk          (i_clk       ) , .i_rstn         (i_rstn      ) , .i_key          (i_key       ) , .o_key_flag     (o_key_flag  ) ,.o_key_state    (o_key_state ));always #10 i_clk <= ~i_clk ; //50MHZinitial begin     i_key  <= 1;     #20  i_rstn  <= 1;#10_000_000;        i_key <= 0;    #1000;i_key <= 1;    #2000;i_key <= 0;    #1400;i_key <= 1;    #2600;i_key <= 0;    #1300;i_key <= 1;    #200;i_key <= 0;   #30_000_000;i_key <= 1;    #2000;i_key <= 0;    #1000;i_key <= 1;    #2600;i_key <= 0;    #1400;i_key <= 1;    #200;i_key <= 0;    #1300;i_key <= 1;   #30_000_000;end
endmodule 

(2)低电平有效的情况

低电平有效的Verilog实现
//---------------------------------------------------
//低电平有效
//输出模板  o_key_flag && !o_key_state (一个脉冲)表示按下module key0_filter_module(input                               i_clk                     ,
input                               i_rstn                    ,
input                               i_key                     ,
output reg                          o_key_flag                ,
output reg                          o_key_state                  
);parameterIDEL        =  4'b0001,FILTER0     =  4'b0010,DOWN        =  4'b0100,FILTER1     =  4'b1000;reg    [3:0]   state                ;
reg    [19:0]  cnt_20ms             ;
reg            en_cnt_20ms          ;//使能计数寄存器
reg            i_key_a,i_key_b      ;
reg            key_tmp_a,key_tmp_b  ;
reg            cnt_20ms_full        ;//计数满标志信号
wire           pedge,nedge          ;//---------------跨时钟域处理,打两个拍子----------------//
always@(posedge i_clk or negedge i_rstn)
if(!i_rstn)begini_key_a <= 1'b0;i_key_b <= 1'b0;end
else begini_key_a <= i_key;i_key_b <= i_key_a;end
//-------------边沿监测电路----------------------------//
always@(posedge i_clk or negedge i_rstn)
if(!i_rstn)beginkey_tmp_a <= 1'b0;key_tmp_b <= 1'b0;
end
else beginkey_tmp_a <= i_key_b;key_tmp_b <= key_tmp_a;
endassign nedge = !key_tmp_a &  key_tmp_b  ;
assign pedge = key_tmp_a  & (!key_tmp_b);//------------------------20ms计数器------------------//
always@(posedge i_clk or negedge i_rstn)
if(!i_rstn)cnt_20ms <= 20'd0;
else if(en_cnt_20ms)cnt_20ms <= cnt_20ms + 1'b1;
elsecnt_20ms <= 20'd0;always@(posedge i_clk or negedge i_rstn)
if(!i_rstn)cnt_20ms_full <= 1'b0;
else if(cnt_20ms == 999_999)cnt_20ms_full <= 1'b1;
elsecnt_20ms_full <= 1'b0;//----------------fsm-----------------------------
always@(posedge i_clk or negedge i_rstn)
if(!i_rstn)beginen_cnt_20ms <= 1'b0;state       <= IDEL;o_key_flag  <= 1'b0;o_key_state <= 1'b1;
end
else begincase(state)IDEL :begino_key_flag <= 1'b0;if(nedge)beginstate       <= FILTER0;en_cnt_20ms <= 1'b1;endelsestate <= IDEL;endFILTER0:if(cnt_20ms_full)begino_key_flag  <= 1'b1;o_key_state <= 1'b0;en_cnt_20ms <= 1'b0;state       <= DOWN;endelse if(pedge)beginstate       <= IDEL;en_cnt_20ms <= 1'b0;endelsestate <= FILTER0;DOWN:begino_key_flag <= 1'b0;if(pedge)beginstate       <= FILTER1;en_cnt_20ms <= 1'b1;endelsestate <= DOWN;endFILTER1:if(cnt_20ms_full)begino_key_flag  <= 1'b1;o_key_state <= 1'b1;en_cnt_20ms <= 1'b0;state       <= IDEL;endelse if(nedge)beginen_cnt_20ms <= 1'b0;state       <= DOWN;endelsestate <= FILTER1;default:beginstate       <= IDEL;en_cnt_20ms <= 1'b0;o_key_flag  <= 1'b0;o_key_state <= 1'b1;endendcase
endendmodule
低电平有效的TESTBENCH
`timescale 1ns / 1psmodule tb_key0_filter_module;//portreg  i_clk  = 0      ; reg  i_rstn = 0      ;reg  i_key           ;wire o_key_flag      ;wire o_key_state     ;key0_filter_module uut (.i_clk          (i_clk       ) , .i_rstn         (i_rstn      ) , .i_key          (i_key       ) , .o_key_flag     (o_key_flag  ) ,.o_key_state    (o_key_state ));always #10 i_clk <= ~i_clk ; //50MHZinitial begin     i_key  <= 0;     #20  i_rstn  <= 1;#10_000_000;        i_key <= 1;    #1000;i_key <= 0;    #2000;i_key <= 1;    #1400;i_key <= 0;    #2600;i_key <= 1;    #1300;i_key <= 0;    #200;i_key <= 1;   #30_000_000;i_key <= 0;    #2000;i_key <= 1;    #1000;i_key <= 0;    #2600;i_key <= 1;    #1400;i_key <= 0;    #200;i_key <= 1;    #1300;i_key <= 0;   #30_000_000;end
endmodule 

本文来自参考:小梅哥的设计方案
https://www.bilibili.com/video/BV1KE411h7AZ?p=8&vd_source=696332c534453c3966f51e8e54ca6453
本篇随笔为学习记录所用,如有侵权,请联系博主。

这篇关于verilog-实现按键消抖模块的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/260701

相关文章

python: 多模块(.py)中全局变量的导入

文章目录 global关键字可变类型和不可变类型数据的内存地址单模块(单个py文件)的全局变量示例总结 多模块(多个py文件)的全局变量from x import x导入全局变量示例 import x导入全局变量示例 总结 global关键字 global 的作用范围是模块(.py)级别: 当你在一个模块(文件)中使用 global 声明变量时,这个变量只在该模块的全局命名空

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

深入探索协同过滤:从原理到推荐模块案例

文章目录 前言一、协同过滤1. 基于用户的协同过滤(UserCF)2. 基于物品的协同过滤(ItemCF)3. 相似度计算方法 二、相似度计算方法1. 欧氏距离2. 皮尔逊相关系数3. 杰卡德相似系数4. 余弦相似度 三、推荐模块案例1.基于文章的协同过滤推荐功能2.基于用户的协同过滤推荐功能 前言     在信息过载的时代,推荐系统成为连接用户与内容的桥梁。本文聚焦于

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo

C#实战|大乐透选号器[6]:实现实时显示已选择的红蓝球数量

哈喽,你好啊,我是雷工。 关于大乐透选号器在前面已经记录了5篇笔记,这是第6篇; 接下来实现实时显示当前选中红球数量,蓝球数量; 以下为练习笔记。 01 效果演示 当选择和取消选择红球或蓝球时,在对应的位置显示实时已选择的红球、蓝球的数量; 02 标签名称 分别设置Label标签名称为:lblRedCount、lblBlueCount

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略 1. 特权模式限制2. 宿主机资源隔离3. 用户和组管理4. 权限提升控制5. SELinux配置 💖The Begin💖点点关注,收藏不迷路💖 Kubernetes的PodSecurityPolicy(PSP)是一个关键的安全特性,它在Pod创建之前实施安全策略,确保P

工厂ERP管理系统实现源码(JAVA)

工厂进销存管理系统是一个集采购管理、仓库管理、生产管理和销售管理于一体的综合解决方案。该系统旨在帮助企业优化流程、提高效率、降低成本,并实时掌握各环节的运营状况。 在采购管理方面,系统能够处理采购订单、供应商管理和采购入库等流程,确保采购过程的透明和高效。仓库管理方面,实现库存的精准管理,包括入库、出库、盘点等操作,确保库存数据的准确性和实时性。 生产管理模块则涵盖了生产计划制定、物料需求计划、