学习笔记——按键消抖与LED开关实例(FPGA)

2023-10-22 09:59

本文主要是介绍学习笔记——按键消抖与LED开关实例(FPGA),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

一、独立按键

1、前言

  • 首先,我们在学习单片机的时候也学过独立按键和矩阵按键,这就给我们今天的这个学习打下了基础,天下按键都一样。键盘分为两种,编码键盘和非编码键盘。
  • 编码键盘:键盘上闭合键的识别由专用的硬件编码器实现,并产生键编码号或键值的称为编码键盘,如计算机键盘。
  • 非编码键盘:靠软件编程来识别的称为非编码键盘。分为独立键盘和行列式键盘(矩阵键盘)

2、简介

  • 独立键盘:接触式按键又称轻触开关,这里的接触指的是机械嵌入式CPU的一个GPIO口对应按键输入,这个输入值的高低状态就是键值。简单的说,这个按键控制IO口,按下为低电平,没有按下为高电平。再通俗点理解就是,一根线上有一个缺口,当按键按下时,缺口合并,为低电平导通。按键松开时,缺口打开,高电平断开。如下图所示:
    在这里插入图片描述
    在这里插入图片描述
  • 由上图可以看出来,实物图中的按键有四个引脚,结合下图对照上图进行想象。1、2、3、4分别对应四个引脚。其中橙色的线表示按键未被按下的状态,为初始状态,它是不导通的;而绿色的线是永久导通的。其实就是两个相同的结构连接在了一起,我们只要将想要的按键开关的作用线路分别接着1,3或者2,4的任意取一个的组合,概括起来就是(1、2)、(1、4)、(3、2)、(3、4)四种组合,都可以起到我们预期的开关的作用。

在这里插入图片描述

3、消抖

  • 说到按键不得不说说消抖。这个还得从最初的原理讲起,就是按键值得采集是如何的。虽然咱们在操作的时候,就是将连接IO口的按键,按下的时候,表示为0,没有被按下的时候为1。但是这只是我们操作的时候,其实在实际程序里面已经进行了消抖,所以我们在按按键的时候才易出错。但是这不能说明实际的原理就是这样的。这只是理想状态的表现,如下图所示。

在这里插入图片描述
在这里插入图片描述

  • 实际的按键按下会比这个复杂的多,按键在闭合和断开的时候,触点会出现抖动的现象,如下图所示:这个抖动不仅和按键的本身的机械结构有关系,也和按键的人的动作快慢轻重有关系,因此在按键按下或者是松开的时候都会出现一个不稳定的抖动时间,如果不处理好这个抖动时间,就无法采集好正确有效的按键值,这个我们在单片机的按键中也了解过。消抖的方法有软件消抖和硬件消抖。
  • 硬件消抖:会使用一些额外的期间,占用我们的电路板上的空间,一定程度上增加了PCD布局布线的复杂度。
    在这里插入图片描述
  • 软件消抖
  • 在按键采集中,为了有效地滤除按键抖动,使用一个约40ms的计数器,在按键值没有变化的时候,这个计数器总是不停的计数,并且计数到40ms最大值时进行一次当时按键的采样(作为最终键值锁存下来)。另外,专门设置2个寄存器对当前的按键输入值进行多拍锁存(并不作为最终的键值),并且利用这两个寄存器前后值得变化来判断当前键值是否有跳变(如从1变到0或者是从0变到1)。若有键值的跳变,则40ms计数器就会清零,相当于重新开始计数
    ,这就保证按键被按下或者松开时短于40ms的抖动情况下不锁存键值,从而达到滤除任何短于40ms的按键抖动。在实际情况下,我们应该做到灵活运用,根据实际情况而异。

二、矩阵键盘

  • 在本实验中,我们有一组 4*4 矩阵键盘。但是通过 P12 的 PIN1-2 短接 时,其实 S1/S2/S3/S4可以作为独立按键使用,它的一端接地,另一端在上拉的同时连接到 FPGA 的 I/O 口。当 I/O口的电平为高(1)时,说明按键没有被按下,当 I/O 口的电平为低 (0)时,说明按键被按下了。矩阵键盘电路图如下所示:
    在这里插入图片描述

三、矩阵键盘实验

1、功能简介

  • 该实验要实现一个独立按键控制一个发光二极管(小灯)亮暗状态改变。上电初始,小灯不亮,当某一个按键被按下后(即键值为 0),小灯被点亮,当按键再次被按下时,小灯则又灭了,按键控制小灯如此反复的进行亮暗变化。
  • 了解功能之后,我们绘制框图,我们使用延时程序,去除掉抖动的部分,通过计数过滤到按键抖动的时间,这个时候就需要使用到计数器,所以设计时就需要时序电路,输入信号为时钟信号和复位信号,这和之前的也不谋而同。第三路信号就是我们输入的没有经过处理的按键信号。输出信号就是经过消抖处理后的稳定的按键消抖信号。模块框图就绘制完成啦,如下图所示:
    在这里插入图片描述
    然后就是波形的绘制。模拟按键波形,就是一段不规则的电平变化,有前抖动和后抖动,保持时间为5ms~10ms,中间的稳定电平为20ms。为了节约时间,我们田间一个20ms的计数器,计数间隔为20ms,每当系统检测到按键电平为低电平时就开始计数,在计数器计数期间,如果再次检测到高电平,就说明上次检测到的低电平就是一个抖动,那么我们就将这个计数器清零。晶振时钟是50MHZ,我们计数是20ms,计数值为999999最大值时,作为最终键值锁存下来。最后波形如下图所示
    在这里插入图片描述

2、代码解析

module cy4(input ext_clk_25m, //外部输入 25MHz 时钟信号input ext_rst_n, //外部输入复位信号,低电平有效input[3:0] key_v,//4 个独立按键输入,未按下为高电平,按下后为低电平output reg[7:0] led //8 个 LED 指示灯接口);
//-------------------------------------
//按键抖动判断逻辑
wire key; //所有按键值相与的结果,用于按键触发判断
reg[3:0] keyr; //按键值 key 的缓存寄存器
assign key = key_v[0] & key_v[1] & key_v[2] & key_v[3];
always @(posedge ext_clk_25m or negedge ext_rst_n)if (!ext_rst_n) keyr <= 4'b1111;else keyr <= {keyr[2:0],key};
wire key_neg = ~keyr[2] & keyr[3]; //有按键被按下
wire key_pos = keyr[2] & ~keyr[3]; //有按键被释放
//-------------------------------------
//定时计数逻辑,用于对按键的消抖判断reg[19:0] cnt;
//按键消抖定时计数器
always @ (posedge ext_clk_25m or negedge ext_rst_n)if (!ext_rst_n) cnt <= 20'd0;else if(key_pos || key_neg) cnt <= 20'd0;else if(cnt < 20'd999_999) cnt <= cnt + 1'b1;else cnt <= 20'd0;reg[3:0] key_value[1:0];
//定时采集按键值
always @(posedge ext_clk_25m or negedge ext_rst_n)if (!ext_rst_n) beginkey_value[0] <= 4'b1111;key_value[1] <= 4'b1111;endelse begin key_value[1] <= key_value[0];if(cnt == 20'd999_999) key_value[0] <= key_v; //定时键值采集else ;end
wire[3:0] key_press = key_value[1] & ~key_value[0]; //消抖后按键值变化标志//-------------------------------------
//LED 切换控制
always @ (posedge ext_clk_25m or negedge ext_rst_n)if (!ext_rst_n) led <= 8'hff;else if(key_press[0]) led[0] <= ~led[0];
else if(key_press[1]) led[1] <= ~led[1];
else if(key_press[2]) led[2] <= ~led[2];
else if(key_press[3]) led[3] <= ~led[3];
else ;endmodule
  • 前提:所有4个独立按键,在任意一个按键被按下和释放期间,不会有其他按键也被按下或者是释放。

  • 底层模块:与之前的代码一样,我们想要在底层模块中写好。时序信号和复位信号是必不可少的,然后加入了4个按键的输入,因为按键是4个所以我们需要定义位宽,位宽为4所以就是【3:0】。输出信号为LED等,有8个LED等,所以位宽定义为8个【7:0】。定义最后一个的时候语句后面是不需要加“,”逗号的。

  • 消抖模块:首先将所有按键输入信号做“逻辑与”操作,得到信号key。信号 key 的值锁存 4 拍分别存储到寄存器 keyr[0]、keyr[1]、keyr[2]和 keyr[3]中(此时的采样频率和基准时钟一致,为 25MHz),通过 keyr[2]和 keyr[3]这两个寄存器获得 key 信号的上升沿标志位 key_pos 和下降沿标志位 key_neg。key_pos 和 key_neg 的获得过程分别如下两图。第一个图为上升沿脉冲检测波形,第二个图为下降沿脉冲检测波形。
    在这里插入图片描述
    在这里插入图片描述

  • 计数器 cnt 在 key_pos 和 key_neg 有效拉高时,都会清零重新开始计数,计数器 cnt 的 最大计数值为40ms,若在某个固定时间内按键有抖动(这个抖动通常不会大于 40ms,这是 经验值),那么这段时间内计数器 cnt 会频繁的清0,cnt 的计数值就不会计数到最大值。一 旦 cnt 计数到最大值,我们就会对当前所有的按键值做一次锁存,锁存到 4 位寄存器key_value[0]中(即这个锁存操作的采样率是 40ms 为周期的,若按键的抖动小于 40ms,那么采样的按键值是不会变化的,那么就达到了消除抖动的目的)。随后,以系统时钟节拍下, key_value[1]会锁存
    key_value[0]的值。当按键按下操作,产生按键值的下降沿变化,那么 key_press就会获得一个时钟周期高脉冲的键值指示信号,通过这个键值指示信号,我们就可以对 LED 的状态变化做相应处理。

3、效果展示

初始状态为全灭,接着可以分别按下 S1/S2/S3/S4 按键,对应的 LED 指示灯的亮灭将会翻转。
初始状态:
在这里插入图片描述
按下按键2
在这里插入图片描述
再次按下按键2
在这里插入图片描述

参考链接

https://blog.csdn.net/qq_44526422/article/details/106237763?ops_request_misc=%25257B%252522request%25255Fid%252522%25253A%252522161215099916780299041564%252522%25252C%252522scm%252522%25253A%25252220140713.130102334.pc%25255Fall.%252522%25257D&request_id=161215099916780299041564&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_v2~rank_v29-11-106237763.first_rank_v2_pc_rank_v29&utm_term=%25E7%258B%25AC%25E7%25AB%258B%25E6%258C%2589%25E9%2594%25AE

https://blog.csdn.net/wangjiaweiwei/article/details/90233186?ops_request_misc=%25257B%252522request%25255Fid%252522%25253A%252522161215099916780271590455%252522%25252C%252522scm%252522%25253A%25252220140713.130102334…%252522%25257D&request_id=161215099916780271590455&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2alltop_click~default-1-90233186.first_rank_v2_pc_rank_v29&utm_term=%25E7%258B%25AC%25E7%25AB%258B%25E6%258C%2589%25E9%2594%25AE

https://www.bilibili.com/video/BV17X4y1T7hA?p=23

这篇关于学习笔记——按键消抖与LED开关实例(FPGA)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/260699

相关文章

HarmonyOS学习(七)——UI(五)常用布局总结

自适应布局 1.1、线性布局(LinearLayout) 通过线性容器Row和Column实现线性布局。Column容器内的子组件按照垂直方向排列,Row组件中的子组件按照水平方向排列。 属性说明space通过space参数设置主轴上子组件的间距,达到各子组件在排列上的等间距效果alignItems设置子组件在交叉轴上的对齐方式,且在各类尺寸屏幕上表现一致,其中交叉轴为垂直时,取值为Vert

Ilya-AI分享的他在OpenAI学习到的15个提示工程技巧

Ilya(不是本人,claude AI)在社交媒体上分享了他在OpenAI学习到的15个Prompt撰写技巧。 以下是详细的内容: 提示精确化:在编写提示时,力求表达清晰准确。清楚地阐述任务需求和概念定义至关重要。例:不用"分析文本",而用"判断这段话的情感倾向:积极、消极还是中性"。 快速迭代:善于快速连续调整提示。熟练的提示工程师能够灵活地进行多轮优化。例:从"总结文章"到"用

【前端学习】AntV G6-08 深入图形与图形分组、自定义节点、节点动画(下)

【课程链接】 AntV G6:深入图形与图形分组、自定义节点、节点动画(下)_哔哩哔哩_bilibili 本章十吾老师讲解了一个复杂的自定义节点中,应该怎样去计算和绘制图形,如何给一个图形制作不间断的动画,以及在鼠标事件之后产生动画。(有点难,需要好好理解) <!DOCTYPE html><html><head><meta charset="UTF-8"><title>06

学习hash总结

2014/1/29/   最近刚开始学hash,名字很陌生,但是hash的思想却很熟悉,以前早就做过此类的题,但是不知道这就是hash思想而已,说白了hash就是一个映射,往往灵活利用数组的下标来实现算法,hash的作用:1、判重;2、统计次数;

零基础学习Redis(10) -- zset类型命令使用

zset是有序集合,内部除了存储元素外,还会存储一个score,存储在zset中的元素会按照score的大小升序排列,不同元素的score可以重复,score相同的元素会按照元素的字典序排列。 1. zset常用命令 1.1 zadd  zadd key [NX | XX] [GT | LT]   [CH] [INCR] score member [score member ...]

【机器学习】高斯过程的基本概念和应用领域以及在python中的实例

引言 高斯过程(Gaussian Process,简称GP)是一种概率模型,用于描述一组随机变量的联合概率分布,其中任何一个有限维度的子集都具有高斯分布 文章目录 引言一、高斯过程1.1 基本定义1.1.1 随机过程1.1.2 高斯分布 1.2 高斯过程的特性1.2.1 联合高斯性1.2.2 均值函数1.2.3 协方差函数(或核函数) 1.3 核函数1.4 高斯过程回归(Gauss

【学习笔记】 陈强-机器学习-Python-Ch15 人工神经网络(1)sklearn

系列文章目录 监督学习:参数方法 【学习笔记】 陈强-机器学习-Python-Ch4 线性回归 【学习笔记】 陈强-机器学习-Python-Ch5 逻辑回归 【课后题练习】 陈强-机器学习-Python-Ch5 逻辑回归(SAheart.csv) 【学习笔记】 陈强-机器学习-Python-Ch6 多项逻辑回归 【学习笔记 及 课后题练习】 陈强-机器学习-Python-Ch7 判别分析 【学

系统架构师考试学习笔记第三篇——架构设计高级知识(20)通信系统架构设计理论与实践

本章知识考点:         第20课时主要学习通信系统架构设计的理论和工作中的实践。根据新版考试大纲,本课时知识点会涉及案例分析题(25分),而在历年考试中,案例题对该部分内容的考查并不多,虽在综合知识选择题目中经常考查,但分值也不高。本课时内容侧重于对知识点的记忆和理解,按照以往的出题规律,通信系统架构设计基础知识点多来源于教材内的基础网络设备、网络架构和教材外最新时事热点技术。本课时知识

线性代数|机器学习-P36在图中找聚类

文章目录 1. 常见图结构2. 谱聚类 感觉后面几节课的内容跨越太大,需要补充太多的知识点,教授讲得内容跨越较大,一般一节课的内容是书本上的一章节内容,所以看视频比较吃力,需要先预习课本内容后才能够很好的理解教授讲解的知识点。 1. 常见图结构 假设我们有如下图结构: Adjacency Matrix:行和列表示的是节点的位置,A[i,j]表示的第 i 个节点和第 j 个

Node.js学习记录(二)

目录 一、express 1、初识express 2、安装express 3、创建并启动web服务器 4、监听 GET&POST 请求、响应内容给客户端 5、获取URL中携带的查询参数 6、获取URL中动态参数 7、静态资源托管 二、工具nodemon 三、express路由 1、express中路由 2、路由的匹配 3、路由模块化 4、路由模块添加前缀 四、中间件