本文主要是介绍第二十三章 Chisel基础——函数的应用,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!
函数是编程语言的常用语法,即使是Verilog这样的硬件描述语言,也会用函数来构建组合逻辑。对于Chisel这样的高级语言,函数的使用更加方便,还能节省不少代码量。不管是用户自己写的函数、Chisel语言库里的函数还是Scala标准库里的函数,都能帮助用户节省构建电路的时间。
一、用函数抽象组合逻辑
与Verilog一样,对于频繁使用的组合逻辑电路,可以定义成Scala的函数形式,然后通过函数调用的方式来使用它。这些函数既可以定义在某个单例对象里,供多个模块重复使用,也可以直接定义在电路模块里。例如:
// function.scala
import chisel3._class UseFunc extends Module {val io = IO(new Bundle {val in = Input(UInt(4.W))val out1 = Output(Bool())val out2 = Output(Bool())})def clb(a: UInt, b: UInt, c: UInt, d: UInt): UInt =(a & b) | (~c & d)io.out1 := clb(io.in(0), io.in(1), io.in(2), io.in(3))io.out2 := clb(io.in(0), io.in(2), io.in(3), io.in(1))
}
二、用工厂方法简化模块的例化
在Scala里,往往在类的伴生对象里定义一个工厂方法,来简化类的实例化。同样,Chisel的模块也是Scala的类,也可以在其伴生对象里定义工厂方法来简化例化、连线模块。例如用双输入多路选择器构建四输入多路选择器:
// mux4.scala
import chisel3._class Mux2 extends Module {val io = IO(new Bundle {val sel = Input(UInt(1.W))val in0 = Input(UInt(1.W))val in1 = Input(UInt(1.W))val out = Output(UInt(1.W))})io.out := (io.sel & io.in1) | (~io.sel & io.in0)
}object Mux2 {def apply(sel: UInt, in0: UInt, in1: UInt) = {val m = Module(new Mux2)m.io.in0 := in0m.io.in1 := in1m.io.sel := selm.io.out}
}class Mux4 extends Module {val io = IO(new Bundle {val sel = Input(UInt(2.W))val in0 = Input(UInt(1.W))val in1 = Input(UInt(1.W))val in2 = Input(UInt(1.W))val in3 = Input(UInt(1.W))val out = Output(UInt(1.W))})io.out := Mux2(io.sel(1),Mux2(io.sel(0), io.in0, io.in1),Mux2(io.sel(0), io.in2, io.in3))
}
三、用Scala的函数简化代码
Scala的函数也能在Chisel里使用,只要能通过Firrtl编译器的检查。比如在生成长的序列上,利用Scala的函数就能减少大量的代码。假设要构建一个译码器,在Verilog里需要写条case语句,当n很大时就会使代码显得冗长而枯燥。利用Scala的for、yield组合可以产生相应的判断条件与输出结果的序列,再用zip函数将两个序列组成一个对偶序列,再把对偶序列作为MuxCase的参数,就能用几行代码构造出任意位数的译码器。例如:
// decoder.scala
package decoderimport chisel3._
import chisel3.util._
import chisel3.experimental._class Decoder(n: Int) extends RawModule {val io = IO(new Bundle {val sel = Input(UInt(n.W))val out = Output(UInt((1 << n)
这篇关于第二十三章 Chisel基础——函数的应用的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!