使用Chisel搭建Systolic Array

2023-10-10 07:59

本文主要是介绍使用Chisel搭建Systolic Array,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

最近听到非常多人吹Chisel,为了方便快速做算法实现,就去尝试学了下,发现确实很香,有种相见恨晚的感觉。

今天是使用Chisel搭建个脉动阵列(Systolic Array, SA)[1],脉动阵列是神经网络中最基础也是最常用的组件之一。它主要是实现高效的矩阵乘法,而神经网络中到处都是矩阵乘法,从全连接层到卷积层(GEMM),都可以用矩阵乘法表示。UCB的Chipyard中专门集成了个脉动阵列的生成器Gemmini。

Gemmini考虑了很多的场景,比较复杂。本实现在参考Gemmini的基础上实现了个基础功能的用于全连接层的Weight-stationary的脉动阵列,即权重存储在PE内,只有输入激励在脉动阵列里传输。这样可以简化权重的加载。

SA是由许多个PE(Processing Element)组成的,每个PE执行的是乘加运算,然后将部分和的结果打拍后放入下一个PE,下一个PE将乘法结果与部分和相加得到新的部分和后传递到再下一个PE。最终在每列的最后一个PE得到总和结果,也就是一个神经元的输出。

Systolic Array(Gemmini中Tile)的架构

本实现中的PE采用的是Weight-Stationary架构,如下图所示。Weight存储在Register中,Weight与输入的Input Activation相乘的结果再与上方PE的部分和结果相加,再传入下一个PE,其中的MUX的目的是让部分和与权重共用一组总线,这样可以节省布线资源。在加载权重时,就不让部分和传递,而是让权重一层层传递下去。(注:这里没有将下方和右方的Register画出来)

PE Weight-Stationary架构

与Weight-Stationary相对的有Ouput-Stationary架构,就是每个PE中存储的是Output Activation,由于没有做实现,因此不展开叙述了。

首先实现PE,Chisel代码如下。这里默认读者有点Chisel基础,可以参考Chisel-book[2],并跑完Chisel Bootcamp[3]做入门学习。下面代码定义了5个输入输出,包括输入的激励inAct,传递到右边PE的输入激励的打拍结果outAct,权重和部分和Partial Sum共用的输入和输出线inWtPS和outWtPS,还有个inwtValid信号是告诉PE当前应该传递权重而不是部分和。这里将激励位宽与权重位宽作为了可配置的参数actWidth和weightWidth。

import chisel3._
import chisel3.util._ 
class PE(actWidth: Int = 16, weightWidth: Int = 16) extends Module{val io = IO(new Bundle{val inAct       = Input(SInt(actWidth.W))val inWtPS      = Input(SInt((actWidth+weightWidth).W))val outWtPS     = Output(SInt((actWidth+weightWidth).W))val outAct      = Output(SInt(actWidth.W)) // 向右传递
        val inwtValid   = Input((UInt(1.W))) })val parSumWidth = actWidth + weightWidth;// 不要初始化,避免复位
    val weightReg   = Reg(SInt(weightWidth.W))val actReg      = Reg(SInt(actWidth.W))val parSumReg   = Reg(SInt(parSumWidth.W))actReg    := io.inAct;parSumReg := io.inWtPS + weightReg * actReg;io.outAct := actReg;// 会自动截低位,不用担心位宽,甚至还会在高位补符号位(有符号数)和0(无符号数)
    when(io.inwtValid === 1.U){weightReg   := io.inWtPSio.outWtPS  := weightReg} .otherwise {weightReg   := weightRegio.outWtPS  := parSumReg}
}

然后是实现Systolic Array,也就是例化多个PE,这里例化的个数由meshRows和meshColumns决定,分别表示Systolic Array的高度和宽度。例化时采用了fill函数,即List中有meshRows个元素,每个元素也是个List,含有meshColumns个PE,因此总PE个数是meshRows * meshColumns。

然后就是inwtValid线连接到每个PE上,这里使用了Scala的语法糖foreach(Scala推荐函数式编程,能不用for循环就不用for循环),在PEs.foreach(_.foreach(_.io.inwtValid := io.inwtValid)) 中,第一个foreach表示对每个PEs的元素(也是个List)做操作,操作仍然是_.foreach,因为List内每个元素仍然是个List,第二个foreach才是对单个PE做操作,指定inwtValid的连接。

import chisel3._
import chisel3.util._ 
class SystolicArray(actWidth: Int = 16, weightWidth: Int = 16,meshRows: Int = 4, meshColumns: Int = 4) extends Module{val io = IO(new Bundle{val inAct     = Input(Vec(meshRows, SInt(actWidth.W)))val inWeight  = Input(Vec(meshColumns, SInt(weightWidth.W)))val outSum    = Output(Vec(meshColumns, SInt((actWidth+weightWidth).W)))val inwtValid = Input((UInt(1.W)))})val parSumWidth = actWidth + weightWidth; // PEs(r)(c)表示第r行,第c列的PE,从0开始
  val PEs: Seq[Seq[PE]] = Seq.fill(meshRows, meshColumns)(Module(new PE(actWidth, weightWidth)))PEs.foreach(_.foreach(_.io.inwtValid := io.inwtValid));for(row <- 0 until meshRows){for(col <- 0 until meshColumns){// 第一行的输入连接到SA端口
      if(row == 0)  PEs(row)(col).io.inWtPS := io.inWeight(col)else          PEs(row)(col).io.inWtPS := PEs(row-1)(col).io.outWtPS// 最后一行的输出连接到模块外
      if(row == meshRows-1)   io.outSum(col) := PEs(row)(col).io.outWtPS  // 第一列
      if(col == 0)  PEs(row)(col).io.inAct := io.inAct(row)else          PEs(row)(col).io.inAct := PEs(row)(col-1).io.outAct}}
}

使用vivado综合生成出来,占用资源如下,每个PE占用一个DSP

参考

  1. ^论文 http://www.eecs.harvard.edu/~htk/publication/1982-kung-why-systolic-architecture.pdf
  2. ^Chisel-book https://github.com/schoeberl/chisel-book
  3. ^Chisel Bootcamp https://github.com/freechipsproject/chisel-bootcamp

link

这篇关于使用Chisel搭建Systolic Array的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/179111

相关文章

中文分词jieba库的使用与实景应用(一)

知识星球:https://articles.zsxq.com/id_fxvgc803qmr2.html 目录 一.定义: 精确模式(默认模式): 全模式: 搜索引擎模式: paddle 模式(基于深度学习的分词模式): 二 自定义词典 三.文本解析   调整词出现的频率 四. 关键词提取 A. 基于TF-IDF算法的关键词提取 B. 基于TextRank算法的关键词提取

使用SecondaryNameNode恢复NameNode的数据

1)需求: NameNode进程挂了并且存储的数据也丢失了,如何恢复NameNode 此种方式恢复的数据可能存在小部分数据的丢失。 2)故障模拟 (1)kill -9 NameNode进程 [lytfly@hadoop102 current]$ kill -9 19886 (2)删除NameNode存储的数据(/opt/module/hadoop-3.1.4/data/tmp/dfs/na

Hadoop数据压缩使用介绍

一、压缩原则 (1)运算密集型的Job,少用压缩 (2)IO密集型的Job,多用压缩 二、压缩算法比较 三、压缩位置选择 四、压缩参数配置 1)为了支持多种压缩/解压缩算法,Hadoop引入了编码/解码器 2)要在Hadoop中启用压缩,可以配置如下参数

Makefile简明使用教程

文章目录 规则makefile文件的基本语法:加在命令前的特殊符号:.PHONY伪目标: Makefilev1 直观写法v2 加上中间过程v3 伪目标v4 变量 make 选项-f-n-C Make 是一种流行的构建工具,常用于将源代码转换成可执行文件或者其他形式的输出文件(如库文件、文档等)。Make 可以自动化地执行编译、链接等一系列操作。 规则 makefile文件

使用opencv优化图片(画面变清晰)

文章目录 需求影响照片清晰度的因素 实现降噪测试代码 锐化空间锐化Unsharp Masking频率域锐化对比测试 对比度增强常用算法对比测试 需求 对图像进行优化,使其看起来更清晰,同时保持尺寸不变,通常涉及到图像处理技术如锐化、降噪、对比度增强等 影响照片清晰度的因素 影响照片清晰度的因素有很多,主要可以从以下几个方面来分析 1. 拍摄设备 相机传感器:相机传

pdfmake生成pdf的使用

实际项目中有时会有根据填写的表单数据或者其他格式的数据,将数据自动填充到pdf文件中根据固定模板生成pdf文件的需求 文章目录 利用pdfmake生成pdf文件1.下载安装pdfmake第三方包2.封装生成pdf文件的共用配置3.生成pdf文件的文件模板内容4.调用方法生成pdf 利用pdfmake生成pdf文件 1.下载安装pdfmake第三方包 npm i pdfma

零基础学习Redis(10) -- zset类型命令使用

zset是有序集合,内部除了存储元素外,还会存储一个score,存储在zset中的元素会按照score的大小升序排列,不同元素的score可以重复,score相同的元素会按照元素的字典序排列。 1. zset常用命令 1.1 zadd  zadd key [NX | XX] [GT | LT]   [CH] [INCR] score member [score member ...]

搭建Kafka+zookeeper集群调度

前言 硬件环境 172.18.0.5        kafkazk1        Kafka+zookeeper                Kafka Broker集群 172.18.0.6        kafkazk2        Kafka+zookeeper                Kafka Broker集群 172.18.0.7        kafkazk3

git使用的说明总结

Git使用说明 下载安装(下载地址) macOS: Git - Downloading macOS Windows: Git - Downloading Windows Linux/Unix: Git (git-scm.com) 创建新仓库 本地创建新仓库:创建新文件夹,进入文件夹目录,执行指令 git init ,用以创建新的git 克隆仓库 执行指令用以创建一个本地仓库的

【IPV6从入门到起飞】5-1 IPV6+Home Assistant(搭建基本环境)

【IPV6从入门到起飞】5-1 IPV6+Home Assistant #搭建基本环境 1 背景2 docker下载 hass3 创建容器4 浏览器访问 hass5 手机APP远程访问hass6 更多玩法 1 背景 既然电脑可以IPV6入站,手机流量可以访问IPV6网络的服务,为什么不在电脑搭建Home Assistant(hass),来控制你的设备呢?@智能家居 @万物互联