FPGA-VHDL-竞赛抢答器设计(平台实现)-2023

2023-10-07 15:20

本文主要是介绍FPGA-VHDL-竞赛抢答器设计(平台实现)-2023,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

题目四:竞赛抢答器设计(平台实现)

  1. 抢答器的输入路数为8;(8位二进制输入)
  2. 当主持人宣布开始(拨下A7键时为有效),抢答时当某一方先按下按键,其他键则失效;
  3. 用一个数码管显示抢中的路编号,并开始进行60秒倒计时(用两个数码管显示),时间到用一指示灯进行闪烁提示;
  4. A7键回位后,进行下一轮抢答。

重要的事情说三遍:

可以参考,不要伤害认真做的同学!

可以参考,不要伤害认真做的同学!

可以参考,不要伤害认真做的同学!


VHDL代码:

------------------This is Main Code,Please set it to Top level Entity------------------------
--%%%%%%%%%%%%%%%%%%%%%
--%%|YZM-- (^@^)/ hi|%%
--%%|21********     |%%
--%%|2023.4.5       |%%
--%%%%%%%%%%%%%%%%%%%%%
library ieee;
use ieee.std_logic_1164.all;
entity Main is
generic (Num:integer :=8);                                 --4 is Digital frequency num;
----------------------------------------------------------------------------------------
port(frequency_in: in std_logic_vector(0 to 3);             --待测频率(选择frequency_in(0)为基准频率)Choose_Frequency_in: in std_logic_vector(0 to Num-1);  --开关选择seg_sel: out std_logic_vector(6 downto 0);             --数码管信息bit_sel: out std_logic_vector(7 downto 0);             --数码管选择Led_out: out std_logic_vector(7 downto 0); begin_start: in std_logic                                );
end Main;
----------------------------------------------------------------------------------------
architecture Digital_frequency_meter_design_main of Main is
----------------------------------------------------------------------------------------type showIndex is array (0 to 7) of natural;           --数组存储数码管信息signal showers: showIndex;                             --数码管signal Nixie_tube_NOW :integer:= 0;                    --数码管显示位置状态signal TIME_NOW:integer  := 60;                        --时间状态signal NowClk: std_logic;                              --1hz频率signal Set_END:integer:=0;                            --结束标志signal CHOOSE_1:std_logic:='0';                       --按键标志signal CHOOSE_2:std_logic:='0';                       --按键标志signal CHOOSE_3:std_logic:='0';                       --按键标志signal CHOOSE_4:std_logic:='0';                       --按键标志signal CHOOSE_5:std_logic:='0';                       --按键标志signal CHOOSE_6:std_logic:='0';                       --按键标志signal CHOOSE_7:std_logic:='0';                       --按键标志signal CHOOSE_8:std_logic:='0';                       --按键标志signal INPUT_NUM:integer := 0;                        --频率选择状态
----------------------------------------------------------------------------------------begin
--###############################选择状态进程###############################	SCAN_1: process(frequency_in(1))beginif Choose_Frequency_in(0)'event and Choose_Frequency_in(0)= '1' then		CHOOSE_1<=not (CHOOSE_8 or CHOOSE_2 or CHOOSE_3 or CHOOSE_4 or CHOOSE_5 or CHOOSE_6 or  CHOOSE_7 );end if;if Choose_Frequency_in(1)'event and Choose_Frequency_in(1)= '1' then		CHOOSE_2<=not (CHOOSE_1 or CHOOSE_8 or CHOOSE_3 or CHOOSE_4 or CHOOSE_5 or CHOOSE_6 or  CHOOSE_7 );end if;if Choose_Frequency_in(2)'event and Choose_Frequency_in(2)= '1' then		CHOOSE_3<=not (CHOOSE_1 or CHOOSE_2 or CHOOSE_8 or CHOOSE_4 or CHOOSE_5 or CHOOSE_6 or  CHOOSE_7 );end if;if Choose_Frequency_in(3)'event and Choose_Frequency_in(3)= '1' then		CHOOSE_4<=not (CHOOSE_1 or CHOOSE_2 or CHOOSE_3 or CHOOSE_8 or CHOOSE_5 or CHOOSE_6 or  CHOOSE_7 );end if;if Choose_Frequency_in(4)'event and Choose_Frequency_in(4)= '1' then		CHOOSE_5<=not (CHOOSE_1 or CHOOSE_2 or CHOOSE_3 or CHOOSE_4 or CHOOSE_8 or CHOOSE_6 or  CHOOSE_7 );end if;if Choose_Frequency_in(5)'event and Choose_Frequency_in(5)= '1' then		CHOOSE_6<=not (CHOOSE_1 or CHOOSE_2 or CHOOSE_3 or CHOOSE_4 or CHOOSE_5 or CHOOSE_8 or  CHOOSE_7 );end if;if Choose_Frequency_in(6)'event and Choose_Frequency_in(6)= '1' then		CHOOSE_7<=not (CHOOSE_1 or CHOOSE_2 or CHOOSE_3 or CHOOSE_4 or CHOOSE_5 or CHOOSE_6 or  CHOOSE_8 );end if;if Choose_Frequency_in(7)'event and Choose_Frequency_in(7)= '1' then		CHOOSE_8<=not (CHOOSE_1 or CHOOSE_2 or CHOOSE_3 or CHOOSE_4 or CHOOSE_5 or CHOOSE_6 or  CHOOSE_7 );end if;if begin_start='1'  then CHOOSE_1<='0';	CHOOSE_2<='0';	CHOOSE_3<='0';	CHOOSE_4<='0';	CHOOSE_5<='0';	CHOOSE_6<='0';	CHOOSE_7<='0';	CHOOSE_8<='0';end if;if CHOOSE_1='1' then INPUT_NUM<=1;else                              if CHOOSE_2='1' then INPUT_NUM<=2;else                              if CHOOSE_3='1' then INPUT_NUM<=3;else                              if CHOOSE_4='1' then INPUT_NUM<=4;else                              if CHOOSE_5='1' then INPUT_NUM<=5;else                              if CHOOSE_6='1' then INPUT_NUM<=6;else                              if CHOOSE_7='1' then INPUT_NUM<=7;else                              if CHOOSE_8='1' then INPUT_NUM<=8;else INPUT_NUM<=0;Set_END<=0;end if;	end if;	end if;	end if;	end if;	end if;	end if;	end if;if TIME_NOW=0 then Set_END<=1; end if;end process SCAN_1;
-----------------------------------------------------------------------------
--###############################基础频率产生进程############################Create_1Hz_Clk: process(frequency_in(0))variable clk_count: integer := 0;beginif frequency_in(0)'event and frequency_in(0) = '1' then		if   clk_count = 375000 thenclk_count := 0;NowClk<=not NowClk;if Set_END/=1  then  TIME_NOW<=TIME_NOW-1; end if;			 if INPUT_NUM=0  then TIME_NOW<=60; end if;else clk_count := clk_count + 1;end if;Nixie_tube_NOW <=( Nixie_tube_NOW + 1)mod 8;end if;end process Create_1Hz_Clk;
------------------------------------------------------------------------------
Show_Num: process(frequency_in(0))
beginshowers(4)<=INPUT_NUM;if TIME_NOW>=10 thenshowers(0)<=(TIME_NOW-(10*(TIME_NOW/10)));else 	showers(0)<=TIME_NOW;end if;showers(1)<=TIME_NOW / 10 ;end process Show_Num;
------------------------------------------------------------------------------
--###############################LED显示进程###############################	
Show_End: process(NowClk)
beginif Set_END=1 thenif NowClk='1' then Led_out<="01010101";elseLed_out<="10101010";end if;elseLed_out<="00000000";end if;
end process Show_End;
------------------------------------------------------------------------------
--###############################数码管显示进程###############################	SHOW_NIXIE:process(Nixie_tube_NOW) begincase showers(Nixie_tube_NOW) iswhen 0 => seg_sel <= "1111110" ;--数字0when 1 => seg_sel <= "0110000" ;--数字1when 2 => seg_sel <= "1101101" ;--数字2when 3 => seg_sel <= "1111001" ;--数字3when 4 => seg_sel <= "0110011" ;--数字4when 5 => seg_sel <= "1011011" ;--数字5when 6 => seg_sel <= "1011111" ;--数字6when 7 => seg_sel <= "1110000" ;--数字7when 8 => seg_sel <= "1111111" ;--数字8when 9 => seg_sel <= "1111011" ;--数字9when 10=> seg_sel <= "0000000" ;--10是不显示数字when others => Null;end case;case Nixie_tube_NOW iswhen 7 => bit_sel <= "11111111";--数码管7when 6 => bit_sel <= "11111111";--数码管6when 5 => bit_sel <= "11111111";--数码管5when 4 => bit_sel <= "11101111";--数码管4when 3 => bit_sel <= "11111111";--数码管3when 2 => bit_sel <= "11111111";--数码管2when 1 => bit_sel <= "11111101";--数码管1when 0 => bit_sel <= "11111110";--数码管0when others => null;end case;end process SHOW_NIXIE;
end Digital_frequency_meter_design_main;
----------------------------------------------------------------------------------------

pin设置:

 

 

这篇关于FPGA-VHDL-竞赛抢答器设计(平台实现)-2023的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/158640

相关文章

流媒体平台/视频监控/安防视频汇聚EasyCVR播放暂停后视频画面黑屏是什么原因?

视频智能分析/视频监控/安防监控综合管理系统EasyCVR视频汇聚融合平台,是TSINGSEE青犀视频垂直深耕音视频流媒体技术、AI智能技术领域的杰出成果。该平台以其强大的视频处理、汇聚与融合能力,在构建全栈视频监控系统中展现出了独特的优势。视频监控管理系统EasyCVR平台内置了强大的视频解码、转码、压缩等技术,能够处理多种视频流格式,并以多种格式(RTMP、RTSP、HTTP-FLV、WebS

不懂推荐算法也能设计推荐系统

本文以商业化应用推荐为例,告诉我们不懂推荐算法的产品,也能从产品侧出发, 设计出一款不错的推荐系统。 相信很多新手产品,看到算法二字,多是懵圈的。 什么排序算法、最短路径等都是相对传统的算法(注:传统是指科班出身的产品都会接触过)。但对于推荐算法,多数产品对着网上搜到的资源,都会无从下手。特别当某些推荐算法 和 “AI”扯上关系后,更是加大了理解的难度。 但,不了解推荐算法,就无法做推荐系

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

综合安防管理平台LntonAIServer视频监控汇聚抖动检测算法优势

LntonAIServer视频质量诊断功能中的抖动检测是一个专门针对视频稳定性进行分析的功能。抖动通常是指视频帧之间的不必要运动,这种运动可能是由于摄像机的移动、传输中的错误或编解码问题导致的。抖动检测对于确保视频内容的平滑性和观看体验至关重要。 优势 1. 提高图像质量 - 清晰度提升:减少抖动,提高图像的清晰度和细节表现力,使得监控画面更加真实可信。 - 细节增强:在低光条件下,抖

JAVA智听未来一站式有声阅读平台听书系统小程序源码

智听未来,一站式有声阅读平台听书系统 🌟&nbsp;开篇:遇见未来,从“智听”开始 在这个快节奏的时代,你是否渴望在忙碌的间隙,找到一片属于自己的宁静角落?是否梦想着能随时随地,沉浸在知识的海洋,或是故事的奇幻世界里?今天,就让我带你一起探索“智听未来”——这一站式有声阅读平台听书系统,它正悄悄改变着我们的阅读方式,让未来触手可及! 📚&nbsp;第一站:海量资源,应有尽有 走进“智听

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo

如何解决线上平台抽佣高 线下门店客流少的痛点!

目前,许多传统零售店铺正遭遇客源下降的难题。尽管广告推广能带来一定的客流,但其费用昂贵。鉴于此,众多零售商纷纷选择加入像美团、饿了么和抖音这样的大型在线平台,但这些平台的高佣金率导致了利润的大幅缩水。在这样的市场环境下,商家之间的合作网络逐渐成为一种有效的解决方案,通过资源和客户基础的共享,实现共同的利益增长。 以最近在上海兴起的一个跨行业合作平台为例,该平台融合了环保消费积分系统,在短