60.以太网数据回环实验(3)以太网数据收发器接收模块

2024-09-05 16:28

本文主要是介绍60.以太网数据回环实验(3)以太网数据收发器接收模块,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

        (1)状态设计:

  • ST_IDLE        :空闲状态
  • ST_PREAMBLE     :前导码+帧起始界定符
  • ST_ETH_HEAD     :以太网帧头:目标MAC地址+源MAC地址+类型/长度
  • ST_IP_HEAD         :IP首部
  • ST_UDP_HEAD     :UDP首部(8个字节)
  • ST_RX_DATA        :接收数据状态
  • ST_RX_DONE      :接收完成状态

        (2)状态转移图: 

        (3)以太网帧头:目标MAC地址(6个字节)+源MAC地址(6个字节)+ 类型/长度(2个字节)(08 00 表示基于IP协议)      目标MAC地址需要使用一个寄存器寄存起来。

        (4)IP首部:目的 IP 地址在首部的第 17 至 20字节,需要使用一个寄存器寄存起来。

        比如第一个字节为45,则IP地址长度对应5左移两位(010100),即长度为20

       (5)UDP首部:第五个字节和第六个字节包含了UDP长度信息,例如:0028(40),有效数据长度等于UDP长度-首部长度(8个字节)

        (6)UDP接收模块代码:

//UDP接收模块设计module udp_rx(input   wire                gmii_rxc            ,input   wire                reset_n             ,input   wire                gmii_rx_dv          ,   //GMII输入数据有效信号input   wire    [7:0]       gmii_rxd            ,   //GMII输入数据output  reg     [31:0]      rec_data            ,   //以太网接收后转化为32位的数据output  reg                 rec_en              ,   //以太网接收的数据使能信号output  reg                 rec_pkt_done        ,   //以太网单包数据接收完成信号 output  reg     [15:0]      rec_byte_num            //以太网接收的有效数据字节数);/*--------------参数定义,parameter全局变量,可以在上层进行修改,而localparam则是局部变量,仅在该模块使用-----------*/
//开发板MAC地址(48位)
parameter BOARO_MAC     =   48'hff_ff_ff_ff_ff_ff       ;//开发板IP地址(32位)
parameter BOARO_IP      =   {8'd0,8'd0,8'd0,8'd0}       ;//状态机状态定义 独热吗编码
localparam ST_IDLE      =   7'b000_0001     ;           //空闲状态,等待接收前导码
localparam ST_PREAMBLE  =   7'b000_0010     ;           //接收前导码和帧起始界定符状态
localparam ST_ETH_HEAD  =   7'b000_0100     ;           //接收以太网帧头状态
localparam ST_IP_HEAD   =   7'b000_1000     ;           //接收IP首部状态
localparam ST_UDP_HEAD  =   7'b001_0000     ;           //接收UDP首部
localparam ST_RX_DATA   =   7'b010_0000     ;           //接收有效数据状态
localparam ST_RX_DONE   =   7'b100_0000     ;           //接收完成状态//以太网协议类型定义  IP协议
localparam ETH_TYPE     =   16'h0800        ;/*--------------寄存器变量定义-----*/
reg     [6:0]       cur_state       ;           //当前状态
reg     [6:0]       next_state      ;           //下一个状态reg                 sw_en           ;           //下一个状态跳转标志信号
reg                 error_en        ;           //接收错误标志信号  
reg     [4:0]       cnt_byte        ;           //字节计数器
reg     [47:0]      des_mac         ;           //目标MAC地址,在本模块中及开发板MAC地址
reg     [15:0]      eth_type        ;           //以太网类型
reg     [31:0]      des_ip          ;           //目标IP地址,在本模块中及开发板IP地址
reg     [5:0]       ip_len          ;           //IP首部长度
reg     [15:0]      udp_len         ;           //UDP长度
reg     [15:0]      cnt_data        ;           //数据长度计算    
reg     [15:0]      data_len        ;           //UDP有效数据长度
reg     [1:0]       cnt_rec_data    ;           //8位转32位计数器/*--------------三段式状态机编写主程序--------*/
//第一段:时序逻辑描述状态转移
always@(posedge gmii_rxc or negedge reset_n)beginif(!reset_n)cur_state <=  ST_IDLE   ;else cur_state <= next_state ;
end//第二段:组合逻辑判断状态机转移条件
always@(*)beginnext_state = ST_IDLE  ;case(cur_state)ST_IDLE :if(sw_en)next_state = ST_PREAMBLE;else next_state = ST_IDLE;ST_PREAMBLE :if(sw_en)next_state = ST_ETH_HEAD;else if(error_en)next_state = ST_RX_DONE;else next_state = ST_PREAMBLE;ST_ETH_HEAD :if(sw_en)next_state = ST_IP_HEAD;else if(error_en)next_state = ST_RX_DONE;else next_state = ST_ETH_HEAD;ST_IP_HEAD  :if(sw_en)next_state = ST_UDP_HEAD;else if(error_en)next_state = ST_RX_DONE;else next_state = ST_IP_HEAD;ST_UDP_HEAD :if(sw_en)next_state = ST_RX_DATA;else next_state = ST_UDP_HEAD;ST_RX_DATA :if(sw_en)next_state = ST_RX_DONE;else next_state = ST_RX_DATA;ST_RX_DONE :if(sw_en)next_state = ST_IDLE;else next_state = ST_RX_DONE;default:next_state = ST_IDLE  ;endcase           
end//第三段:时序逻辑描述寄存器变量和状态输出
always@(posedge gmii_rxc or negedge reset_n)beginif(!reset_n)beginsw_en         <= 1'd0;        error_en      <= 1'd0;   cnt_byte      <= 5'd0;   des_mac       <= 48'd0;   eth_type      <= 16'd0;   des_ip        <= 32'd0;ip_len        <= 6'd0;udp_len       <= 16'd0;   cnt_data      <= 16'd0;   data_len      <= 16'd0;   cnt_rec_data  <= 2'd0;   rec_data      <= 32'd0;rec_en        <= 1'd0;rec_pkt_done  <= 1'd0;rec_byte_num  <= 16'd0;   endelse beginsw_en         <= 1'd0;        error_en      <= 1'd0;rec_en        <= 1'd0;rec_pkt_done  <= 1'd0;case(next_state)ST_IDLE :begin                      //GMII输入有效信号拉高,接收到0x55,跳转至下一个状态if((gmii_rx_dv == 1'd1) && (gmii_rxd == 8'h55))sw_en <= 1'd1;end              ST_PREAMBLE :beginif(gmii_rx_dv == 1'd1)begincnt_byte <= cnt_byte + 5'd1;                    if((cnt_byte < 5'd6) && (gmii_rxd != 8'h55))    //如果正确,0,1,2,3,4,5 再加上空闲跳转到该状态的一个0x55,总共7个0x55  error_en <= 1'd1;else if(cnt_byte == 5'd6)begincnt_byte <= 5'd0;if(gmii_rxd == 8'hd5)           //一个0xd5sw_en <= 1'd1;else    error_en <= 1'd1;endendend    ST_ETH_HEAD :beginif(gmii_rx_dv == 1'd1)begincnt_byte <= cnt_byte + 5'd1;if(cnt_byte < 5'd6)                      //0,1,2,3,4,5  6个8位数据,并凑出一个48位的MAC地址des_mac <= {des_mac[39:0],gmii_rxd};else if(cnt_byte == 5'd12)               //6,7,8,9,10,11 6个8位数据,是源MAC地址,12,13位为协议类型eth_type[15:8]  <= gmii_rxd;else if(cnt_byte == 5'd13)begineth_type[7:0]   <= gmii_rxd;cnt_byte        <= 5'd0;if(((des_mac == BOARO_MAC) || (des_mac == 48'hff_ff_ff_ff_ff_ff))&& eth_type[15:8] == ETH_TYPE[15:8]&& gmii_rxd == ETH_TYPE[7:0])sw_en <= 1'd1;else error_en <= 1'd1;endendendST_IP_HEAD :beginif(gmii_rx_dv == 1'd1)begincnt_byte <= cnt_byte + 5'd1;            if(cnt_byte == 5'd0)            //IP首部第一个字节的后四位左移2位 代表IP首部的长度ip_len <= {gmii_rxd[3:0],2'd0};           else if((cnt_byte >= 5'd16) && (cnt_byte <= 5'd18))      //IP首部的后四个字节组成目标IP地址des_ip <= {des_ip[23:0],gmii_rxd};else if(cnt_byte == 5'd19)begindes_ip <= {des_ip[23:0],gmii_rxd};if((des_ip[23:0] == BOARO_IP[31:8])&&(gmii_rxd == BOARO_IP[7:0]))if(cnt_byte == ip_len - 1'b1)beginsw_en <= 1'd1;cnt_byte <= 5'd0;                                endelse beginerror_en <= 1'd1;cnt_byte <= 5'd0;endendelse if(cnt_byte == ip_len - 1'b1)beginsw_en <= 1'd1;cnt_byte <= 5'd0;  endendend /*------UDP首部(8个字节):第五个字节和第六个字节包含了UDP长度信息,例如:0028(40),有效数据长度等于UDP长度-首部长度(8个字节)----*/ST_UDP_HEAD :beginif(gmii_rx_dv == 1'd1)begincnt_byte <= cnt_byte + 5'd1;if(cnt_byte == 5'd4)udp_len[15:8]   <= gmii_rxd;else if(cnt_byte == 5'd5)udp_len[7:0]    <= gmii_rxd;else if(cnt_byte == 5'd7)begindata_len <= udp_len - 16'd8;sw_en   <= 1'd1;cnt_byte <= 5'd0;end endendST_RX_DATA  :beginif(gmii_rx_dv == 1'd1)begincnt_data <= cnt_data + 16'd1;cnt_rec_data <= cnt_rec_data + 2'd1;if(cnt_data == data_len - 16'd1)beginsw_en <= 1'd1;cnt_data <= 16'd0;cnt_rec_data <= 2'd0;rec_pkt_done <= 1'd1;rec_en <= 1'd1;rec_byte_num <= data_len;                   endif(cnt_rec_data == 2'd0)rec_data[31:24] <= gmii_rxd;else if(cnt_rec_data == 2'd1)rec_data[23:16] <= gmii_rxd;else if(cnt_rec_data == 2'd2)rec_data[15:8]  <= gmii_rxd;else if(cnt_rec_data == 2'd3)beginrec_en <= 1'd1;rec_data[7:0] <= gmii_rxd;endendendST_RX_DONE  :begin       //单包数据接收完成if((gmii_rx_dv == 1'd0) && (sw_en == 1'd0))sw_en <= 1'd1;enddefault:   ;      endcaseend
endendmodule

        (7)仿真代码:

`timescale 1ns / 1psmodule tb_udp_rx;reg                gmii_rxc        ;        reg                reset_n         ;  reg                start_flag      ;reg                gmii_rx_dv      ;reg    [7:0]       cnt             ;reg    [7:0]       data_mem[85:0]  ;wire   [7:0]       gmii_rxd        ; wire   [31:0]      rec_data        ;     wire               rec_en          ;     wire               rec_pkt_done    ;     wire   [15:0]      rec_byte_num    ;initial gmii_rxc = 1'd1;
always #10 gmii_rxc = ~gmii_rxc;initial beginreset_n <= 1'd0;start_flag <= 1'd0;#200reset_n <= 1'd1;#200start_flag <= 1'd1;#40start_flag <= 1'd0;#3000$stop;
end initial $readmemh("D:/FPGA/35_eth_udp_loop/vivado_prj/project_1.srcs/sim_1/new/data.txt",data_mem);assign gmii_rxd = (gmii_rx_dv == 1'd1)? data_mem[cnt] : 8'd0;always@(negedge gmii_rxc or negedge reset_n)if(!reset_n)cnt <= 1'd0;else if(gmii_rx_dv == 1'd1)cnt <= cnt + 1'b1;else cnt <= cnt;always@(negedge gmii_rxc or negedge reset_n)if(!reset_n)gmii_rx_dv <= 1'd0;else if(cnt == 8'd85)                   //8 + 14 + 20 + 8 + 32 + 4 = 86gmii_rx_dv <= 1'd0;else if(start_flag)gmii_rx_dv <= 1'd1;else gmii_rx_dv <= gmii_rx_dv;defparam udp_rx_inst.BOARO_MAC  = 48'h12_34_56_78_9a_bc;
defparam udp_rx_inst.BOARO_IP   = 32'ha9_fe_01_17 ;udp_rx  udp_rx_inst(.gmii_rxc            (gmii_rxc       ),.reset_n             (reset_n        ),.gmii_rx_dv          (gmii_rx_dv     ),   .gmii_rxd            (gmii_rxd       ),   .rec_data            (rec_data       ),   .rec_en              (rec_en         ),   .rec_pkt_done        (rec_pkt_done   ),   .rec_byte_num        (rec_byte_num   )    );endmodule

        (8)仿真波形:

这篇关于60.以太网数据回环实验(3)以太网数据收发器接收模块的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1139467

相关文章

大模型研发全揭秘:客服工单数据标注的完整攻略

在人工智能(AI)领域,数据标注是模型训练过程中至关重要的一步。无论你是新手还是有经验的从业者,掌握数据标注的技术细节和常见问题的解决方案都能为你的AI项目增添不少价值。在电信运营商的客服系统中,工单数据是客户问题和解决方案的重要记录。通过对这些工单数据进行有效标注,不仅能够帮助提升客服自动化系统的智能化水平,还能优化客户服务流程,提高客户满意度。本文将详细介绍如何在电信运营商客服工单的背景下进行

基于MySQL Binlog的Elasticsearch数据同步实践

一、为什么要做 随着马蜂窝的逐渐发展,我们的业务数据越来越多,单纯使用 MySQL 已经不能满足我们的数据查询需求,例如对于商品、订单等数据的多维度检索。 使用 Elasticsearch 存储业务数据可以很好的解决我们业务中的搜索需求。而数据进行异构存储后,随之而来的就是数据同步的问题。 二、现有方法及问题 对于数据同步,我们目前的解决方案是建立数据中间表。把需要检索的业务数据,统一放到一张M

关于数据埋点,你需要了解这些基本知识

产品汪每天都在和数据打交道,你知道数据来自哪里吗? 移动app端内的用户行为数据大多来自埋点,了解一些埋点知识,能和数据分析师、技术侃大山,参与到前期的数据采集,更重要是让最终的埋点数据能为我所用,否则可怜巴巴等上几个月是常有的事。   埋点类型 根据埋点方式,可以区分为: 手动埋点半自动埋点全自动埋点 秉承“任何事物都有两面性”的道理:自动程度高的,能解决通用统计,便于统一化管理,但个性化定

python: 多模块(.py)中全局变量的导入

文章目录 global关键字可变类型和不可变类型数据的内存地址单模块(单个py文件)的全局变量示例总结 多模块(多个py文件)的全局变量from x import x导入全局变量示例 import x导入全局变量示例 总结 global关键字 global 的作用范围是模块(.py)级别: 当你在一个模块(文件)中使用 global 声明变量时,这个变量只在该模块的全局命名空

使用SecondaryNameNode恢复NameNode的数据

1)需求: NameNode进程挂了并且存储的数据也丢失了,如何恢复NameNode 此种方式恢复的数据可能存在小部分数据的丢失。 2)故障模拟 (1)kill -9 NameNode进程 [lytfly@hadoop102 current]$ kill -9 19886 (2)删除NameNode存储的数据(/opt/module/hadoop-3.1.4/data/tmp/dfs/na

异构存储(冷热数据分离)

异构存储主要解决不同的数据,存储在不同类型的硬盘中,达到最佳性能的问题。 异构存储Shell操作 (1)查看当前有哪些存储策略可以用 [lytfly@hadoop102 hadoop-3.1.4]$ hdfs storagepolicies -listPolicies (2)为指定路径(数据存储目录)设置指定的存储策略 hdfs storagepolicies -setStoragePo

Hadoop集群数据均衡之磁盘间数据均衡

生产环境,由于硬盘空间不足,往往需要增加一块硬盘。刚加载的硬盘没有数据时,可以执行磁盘数据均衡命令。(Hadoop3.x新特性) plan后面带的节点的名字必须是已经存在的,并且是需要均衡的节点。 如果节点不存在,会报如下错误: 如果节点只有一个硬盘的话,不会创建均衡计划: (1)生成均衡计划 hdfs diskbalancer -plan hadoop102 (2)执行均衡计划 hd

深入探索协同过滤:从原理到推荐模块案例

文章目录 前言一、协同过滤1. 基于用户的协同过滤(UserCF)2. 基于物品的协同过滤(ItemCF)3. 相似度计算方法 二、相似度计算方法1. 欧氏距离2. 皮尔逊相关系数3. 杰卡德相似系数4. 余弦相似度 三、推荐模块案例1.基于文章的协同过滤推荐功能2.基于用户的协同过滤推荐功能 前言     在信息过载的时代,推荐系统成为连接用户与内容的桥梁。本文聚焦于

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

烟火目标检测数据集 7800张 烟火检测 带标注 voc yolo

一个包含7800张带标注图像的数据集,专门用于烟火目标检测,是一个非常有价值的资源,尤其对于那些致力于公共安全、事件管理和烟花表演监控等领域的人士而言。下面是对此数据集的一个详细介绍: 数据集名称:烟火目标检测数据集 数据集规模: 图片数量:7800张类别:主要包含烟火类目标,可能还包括其他相关类别,如烟火发射装置、背景等。格式:图像文件通常为JPEG或PNG格式;标注文件可能为X