VHDL实现IEEE802.3中的CRC32算法

2024-08-30 18:44

本文主要是介绍VHDL实现IEEE802.3中的CRC32算法,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

IEEE 802.3 标准中规定的 CRC32 算法是一种基于多项式除法的校验和计算方法。在以太网中,每个帧都包括一个CRC32校验字段,用于检测数据在传输过程中是否出现了错误。该算法使用的多项式为x32 + x26 + x23 + x22 + x16 + x12 + x11 + x10 + x8 + x7 + x5 + x4 + x2 + x + 1 多项式按正常写法是0x04C11DB7,计算CRC32时需要翻转 0xEDB88320,初始值为 0xFFFFFFFF。


使用环境:100M的MII接口(4数据线,25M时钟线),fpga通过MII接口发送UDP广播包

MII接口4数据线,一个时钟周期发送4个比特,计数CRC32直接按4bit计算

在网上能找到不少c语言计数方法

#include <stdio.h>	
#include <stdint.h>	// 多项式除数 0xEDB88320	
#define POLY 0xEDB88320UL	// 计算 CRC32 校验和	
uint32_t crc32(const void *data, size_t len)	
{	const uint8_t *bytes = data;	uint32_t crc = 0xFFFFFFFFUL;	// 循环处理每个字节	for (size_t i = 0; i < len; i++) {	crc ^= bytes[i];        // 把当前字节与 crc 的低 8 位进行异或操作	// 处理当前字节的 8 位,每次处理一位	for (int j = 0; j < 8; j++) {	if (crc & 1u) {      // 如果 crc 的最低位为 1,则右移并与多项式除数进行异或操作	crc >>= 1;	crc ^= POLY;	} else {            // 否则,只右移一个比特位	crc >>= 1;	}	}	}	return crc;	
}	

非常实用FPGA实现CRC校验介绍和代码生成工具_crc代码生成工具-CSDN博客

提到一个代码生成工具获取verilog计数方法

 --x8+x5+x4+1
        crcout(0) :=  r(0) xor r(3) xor r(4) xor r(6) xor d(0) xor d(3) xor d(4) xor d(6);
        crcout(1) :=  r(1) xor r(4) xor r(5) xor r(7) xor d(1) xor d(4) xor d(5) xor d(7);
        crcout(2) :=  r(2) xor r(5) xor r(6) xor d(2) xor d(5) xor d(6);
        crcout(3) :=  r(3) xor r(6) xor r(7) xor d(3) xor d(6) xor d(7);
        crcout(4) :=  r(0) xor r(3) xor r(6) xor r(7) xor d(0) xor d(3) xor d(6) xor d(7);
        crcout(5) :=  r(0) xor r(1) xor r(3) xor r(6) xor r(7) xor d(0) xor d(1) xor d(3) xor d(6) xor d(7);
        crcout(6) :=  r(1) xor r(2) xor r(4) xor r(7) xor d(1) xor d(2) xor d(4) xor d(7);
        crcout(7) :=  r(2) xor r(3) xor r(5) xor d(2) xor d(3) xor d(5);

上面是生成CRC-8的计数代码,CRC32计算需要32位,代码太多了!!!!个人感觉不太适合(资源占用情况、代码量)

通过研究c语言的CRC计算代码,可以分析出计算1个周期(4bit)步骤

1、将4bit与当前 CRC 寄存器的低 4 位进行异或操作。

2、将亦或后的CRC右移1位,再判断4bit异或操作后bit0状态,为1把CRC与多项式进行亦或计算

3、按步骤2计算3次,分别对步骤1异或操作后bit1\2\3同样操作一次

4、获取计算好的CRC了

按上面逻辑使用VHDL计算CRC32,步骤1结果只要16个,直接case语句分别计数,每种结果各个bit值固定,那么除了CRC值不确定,其他计数参数都固定,可以按步骤2-3把其余参数都计算出来,直接写入,VHDL不用完全把上面逻辑写入代码中

signal CRC: std_logic_vector(31 downto 0);
signal CRCBUF,CRCSUM: std_logic_vector(3 downto 0);CRCSUM<=CRCBUF xor CRC(3 downto 0);
process(CLK25M)beginif(CLK25M'event and CLK25M='1') thencase CRCSUM is  --多项式  0xEDB88320Lwhen "0000" => CRC<=("0000" & CRC(31 downto 4)) xor "00000000000000000000000000000000";when "0001" => CRC<=("0000" & CRC(31 downto 4)) xor "00011101101101110001000001100100"; --1DB71064when "0010" => CRC<=("0000" & CRC(31 downto 4)) xor "00111011011011100010000011001000"; --3B6E20C8when "0011" => CRC<=("0000" & CRC(31 downto 4)) xor "00100110110110010011000010101100"; --26D930ACwhen "0100" => CRC<=("0000" & CRC(31 downto 4)) xor "01110110110111000100000110010000"; --76DC4190when "0101" => CRC<=("0000" & CRC(31 downto 4)) xor "01101011011010110101000111110100"; --when "0110" => CRC<=("0000" & CRC(31 downto 4)) xor "01001101101100100110000101011000";when "0111" => CRC<=("0000" & CRC(31 downto 4)) xor "01010000000001010111000100111100";when "1000" => CRC<=("0000" & CRC(31 downto 4)) xor "11101101101110001000001100100000"; --EDB88320when "1001" => CRC<=("0000" & CRC(31 downto 4)) xor "11110000000011111001001101000100";when "1010" => CRC<=("0000" & CRC(31 downto 4)) xor "11010110110101101010001111101000";when "1011" => CRC<=("0000" & CRC(31 downto 4)) xor "11001011011000011011001110001100";when "1100" => CRC<=("0000" & CRC(31 downto 4)) xor "10011011011001001100001010110000";when "1101" => CRC<=("0000" & CRC(31 downto 4)) xor "10000110110100111101001011010100";when "1110" => CRC<=("0000" & CRC(31 downto 4)) xor "10100000000010101110001001111000";when "1111" => CRC<=("0000" & CRC(31 downto 4)) xor "10111101101111011111001000011100";when others => NULL;end case;end if;
end process;

我们计算下when "1011" => CRC<=("0000" & CRC(31 downto 4)) xor "11001011011000011011001110001100";

bit0=1,按c语言代码,for循环后,多项式右移了3bit,11101101101110001000001100100000右移3比特值00011101101101110001000001100100

bit2=1,按c语言代码,for循环后,多项式右移了2bit,11101101101110001000001100100000右移3比特值00111011011011100010000011001000

bit3=1,按c语言代码,for循环后,多项式没右移保存不变,11101101101110001000001100100000

3次异或多项式先亦或

00011101101101110001000001100100

00111011011011100010000011001000

11101101101110001000001100100000

异或后值为:

11001011011000011011001110001100

然后直接与右移4bit的CRC进行异或计算。(数据帧开始计算前需要把CRC初始化为FFFFFFFF)

这篇关于VHDL实现IEEE802.3中的CRC32算法的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1121630

相关文章

Java实现时间与字符串互相转换详解

《Java实现时间与字符串互相转换详解》这篇文章主要为大家详细介绍了Java中实现时间与字符串互相转换的相关方法,文中的示例代码讲解详细,感兴趣的小伙伴可以跟随小编一起学习一下... 目录一、日期格式化为字符串(一)使用预定义格式(二)自定义格式二、字符串解析为日期(一)解析ISO格式字符串(二)解析自定义

opencv图像处理之指纹验证的实现

《opencv图像处理之指纹验证的实现》本文主要介绍了opencv图像处理之指纹验证的实现,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的朋友们下面随着小编来一起学... 目录一、简介二、具体案例实现1. 图像显示函数2. 指纹验证函数3. 主函数4、运行结果三、总结一、

Springboot处理跨域的实现方式(附Demo)

《Springboot处理跨域的实现方式(附Demo)》:本文主要介绍Springboot处理跨域的实现方式(附Demo),具有很好的参考价值,希望对大家有所帮助,如有错误或未考虑完全的地方,望不... 目录Springboot处理跨域的方式1. 基本知识2. @CrossOrigin3. 全局跨域设置4.

Spring Boot 3.4.3 基于 Spring WebFlux 实现 SSE 功能(代码示例)

《SpringBoot3.4.3基于SpringWebFlux实现SSE功能(代码示例)》SpringBoot3.4.3结合SpringWebFlux实现SSE功能,为实时数据推送提供... 目录1. SSE 简介1.1 什么是 SSE?1.2 SSE 的优点1.3 适用场景2. Spring WebFlu

基于SpringBoot实现文件秒传功能

《基于SpringBoot实现文件秒传功能》在开发Web应用时,文件上传是一个常见需求,然而,当用户需要上传大文件或相同文件多次时,会造成带宽浪费和服务器存储冗余,此时可以使用文件秒传技术通过识别重复... 目录前言文件秒传原理代码实现1. 创建项目基础结构2. 创建上传存储代码3. 创建Result类4.

SpringBoot日志配置SLF4J和Logback的方法实现

《SpringBoot日志配置SLF4J和Logback的方法实现》日志记录是不可或缺的一部分,本文主要介绍了SpringBoot日志配置SLF4J和Logback的方法实现,文中通过示例代码介绍的非... 目录一、前言二、案例一:初识日志三、案例二:使用Lombok输出日志四、案例三:配置Logback一

Python如何使用__slots__实现节省内存和性能优化

《Python如何使用__slots__实现节省内存和性能优化》你有想过,一个小小的__slots__能让你的Python类内存消耗直接减半吗,没错,今天咱们要聊的就是这个让人眼前一亮的技巧,感兴趣的... 目录背景:内存吃得满满的类__slots__:你的内存管理小助手举个大概的例子:看看效果如何?1.

Python+PyQt5实现多屏幕协同播放功能

《Python+PyQt5实现多屏幕协同播放功能》在现代会议展示、数字广告、展览展示等场景中,多屏幕协同播放已成为刚需,下面我们就来看看如何利用Python和PyQt5开发一套功能强大的跨屏播控系统吧... 目录一、项目概述:突破传统播放限制二、核心技术解析2.1 多屏管理机制2.2 播放引擎设计2.3 专

Python实现无痛修改第三方库源码的方法详解

《Python实现无痛修改第三方库源码的方法详解》很多时候,我们下载的第三方库是不会有需求不满足的情况,但也有极少的情况,第三方库没有兼顾到需求,本文将介绍几个修改源码的操作,大家可以根据需求进行选择... 目录需求不符合模拟示例 1. 修改源文件2. 继承修改3. 猴子补丁4. 追踪局部变量需求不符合很

idea中创建新类时自动添加注释的实现

《idea中创建新类时自动添加注释的实现》在每次使用idea创建一个新类时,过了一段时间发现看不懂这个类是用来干嘛的,为了解决这个问题,我们可以设置在创建一个新类时自动添加注释,帮助我们理解这个类的用... 目录前言:详细操作:步骤一:点击上方的 文件(File),点击&nbmyHIgsp;设置(Setti