VHDL实现IEEE802.3中的CRC32算法

2024-08-30 18:44

本文主要是介绍VHDL实现IEEE802.3中的CRC32算法,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

IEEE 802.3 标准中规定的 CRC32 算法是一种基于多项式除法的校验和计算方法。在以太网中,每个帧都包括一个CRC32校验字段,用于检测数据在传输过程中是否出现了错误。该算法使用的多项式为x32 + x26 + x23 + x22 + x16 + x12 + x11 + x10 + x8 + x7 + x5 + x4 + x2 + x + 1 多项式按正常写法是0x04C11DB7,计算CRC32时需要翻转 0xEDB88320,初始值为 0xFFFFFFFF。


使用环境:100M的MII接口(4数据线,25M时钟线),fpga通过MII接口发送UDP广播包

MII接口4数据线,一个时钟周期发送4个比特,计数CRC32直接按4bit计算

在网上能找到不少c语言计数方法

#include <stdio.h>	
#include <stdint.h>	// 多项式除数 0xEDB88320	
#define POLY 0xEDB88320UL	// 计算 CRC32 校验和	
uint32_t crc32(const void *data, size_t len)	
{	const uint8_t *bytes = data;	uint32_t crc = 0xFFFFFFFFUL;	// 循环处理每个字节	for (size_t i = 0; i < len; i++) {	crc ^= bytes[i];        // 把当前字节与 crc 的低 8 位进行异或操作	// 处理当前字节的 8 位,每次处理一位	for (int j = 0; j < 8; j++) {	if (crc & 1u) {      // 如果 crc 的最低位为 1,则右移并与多项式除数进行异或操作	crc >>= 1;	crc ^= POLY;	} else {            // 否则,只右移一个比特位	crc >>= 1;	}	}	}	return crc;	
}	

非常实用FPGA实现CRC校验介绍和代码生成工具_crc代码生成工具-CSDN博客

提到一个代码生成工具获取verilog计数方法

 --x8+x5+x4+1
        crcout(0) :=  r(0) xor r(3) xor r(4) xor r(6) xor d(0) xor d(3) xor d(4) xor d(6);
        crcout(1) :=  r(1) xor r(4) xor r(5) xor r(7) xor d(1) xor d(4) xor d(5) xor d(7);
        crcout(2) :=  r(2) xor r(5) xor r(6) xor d(2) xor d(5) xor d(6);
        crcout(3) :=  r(3) xor r(6) xor r(7) xor d(3) xor d(6) xor d(7);
        crcout(4) :=  r(0) xor r(3) xor r(6) xor r(7) xor d(0) xor d(3) xor d(6) xor d(7);
        crcout(5) :=  r(0) xor r(1) xor r(3) xor r(6) xor r(7) xor d(0) xor d(1) xor d(3) xor d(6) xor d(7);
        crcout(6) :=  r(1) xor r(2) xor r(4) xor r(7) xor d(1) xor d(2) xor d(4) xor d(7);
        crcout(7) :=  r(2) xor r(3) xor r(5) xor d(2) xor d(3) xor d(5);

上面是生成CRC-8的计数代码,CRC32计算需要32位,代码太多了!!!!个人感觉不太适合(资源占用情况、代码量)

通过研究c语言的CRC计算代码,可以分析出计算1个周期(4bit)步骤

1、将4bit与当前 CRC 寄存器的低 4 位进行异或操作。

2、将亦或后的CRC右移1位,再判断4bit异或操作后bit0状态,为1把CRC与多项式进行亦或计算

3、按步骤2计算3次,分别对步骤1异或操作后bit1\2\3同样操作一次

4、获取计算好的CRC了

按上面逻辑使用VHDL计算CRC32,步骤1结果只要16个,直接case语句分别计数,每种结果各个bit值固定,那么除了CRC值不确定,其他计数参数都固定,可以按步骤2-3把其余参数都计算出来,直接写入,VHDL不用完全把上面逻辑写入代码中

signal CRC: std_logic_vector(31 downto 0);
signal CRCBUF,CRCSUM: std_logic_vector(3 downto 0);CRCSUM<=CRCBUF xor CRC(3 downto 0);
process(CLK25M)beginif(CLK25M'event and CLK25M='1') thencase CRCSUM is  --多项式  0xEDB88320Lwhen "0000" => CRC<=("0000" & CRC(31 downto 4)) xor "00000000000000000000000000000000";when "0001" => CRC<=("0000" & CRC(31 downto 4)) xor "00011101101101110001000001100100"; --1DB71064when "0010" => CRC<=("0000" & CRC(31 downto 4)) xor "00111011011011100010000011001000"; --3B6E20C8when "0011" => CRC<=("0000" & CRC(31 downto 4)) xor "00100110110110010011000010101100"; --26D930ACwhen "0100" => CRC<=("0000" & CRC(31 downto 4)) xor "01110110110111000100000110010000"; --76DC4190when "0101" => CRC<=("0000" & CRC(31 downto 4)) xor "01101011011010110101000111110100"; --when "0110" => CRC<=("0000" & CRC(31 downto 4)) xor "01001101101100100110000101011000";when "0111" => CRC<=("0000" & CRC(31 downto 4)) xor "01010000000001010111000100111100";when "1000" => CRC<=("0000" & CRC(31 downto 4)) xor "11101101101110001000001100100000"; --EDB88320when "1001" => CRC<=("0000" & CRC(31 downto 4)) xor "11110000000011111001001101000100";when "1010" => CRC<=("0000" & CRC(31 downto 4)) xor "11010110110101101010001111101000";when "1011" => CRC<=("0000" & CRC(31 downto 4)) xor "11001011011000011011001110001100";when "1100" => CRC<=("0000" & CRC(31 downto 4)) xor "10011011011001001100001010110000";when "1101" => CRC<=("0000" & CRC(31 downto 4)) xor "10000110110100111101001011010100";when "1110" => CRC<=("0000" & CRC(31 downto 4)) xor "10100000000010101110001001111000";when "1111" => CRC<=("0000" & CRC(31 downto 4)) xor "10111101101111011111001000011100";when others => NULL;end case;end if;
end process;

我们计算下when "1011" => CRC<=("0000" & CRC(31 downto 4)) xor "11001011011000011011001110001100";

bit0=1,按c语言代码,for循环后,多项式右移了3bit,11101101101110001000001100100000右移3比特值00011101101101110001000001100100

bit2=1,按c语言代码,for循环后,多项式右移了2bit,11101101101110001000001100100000右移3比特值00111011011011100010000011001000

bit3=1,按c语言代码,for循环后,多项式没右移保存不变,11101101101110001000001100100000

3次异或多项式先亦或

00011101101101110001000001100100

00111011011011100010000011001000

11101101101110001000001100100000

异或后值为:

11001011011000011011001110001100

然后直接与右移4bit的CRC进行异或计算。(数据帧开始计算前需要把CRC初始化为FFFFFFFF)

这篇关于VHDL实现IEEE802.3中的CRC32算法的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1121630

相关文章

不懂推荐算法也能设计推荐系统

本文以商业化应用推荐为例,告诉我们不懂推荐算法的产品,也能从产品侧出发, 设计出一款不错的推荐系统。 相信很多新手产品,看到算法二字,多是懵圈的。 什么排序算法、最短路径等都是相对传统的算法(注:传统是指科班出身的产品都会接触过)。但对于推荐算法,多数产品对着网上搜到的资源,都会无从下手。特别当某些推荐算法 和 “AI”扯上关系后,更是加大了理解的难度。 但,不了解推荐算法,就无法做推荐系

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

康拓展开(hash算法中会用到)

康拓展开是一个全排列到一个自然数的双射(也就是某个全排列与某个自然数一一对应) 公式: X=a[n]*(n-1)!+a[n-1]*(n-2)!+...+a[i]*(i-1)!+...+a[1]*0! 其中,a[i]为整数,并且0<=a[i]<i,1<=i<=n。(a[i]在不同应用中的含义不同); 典型应用: 计算当前排列在所有由小到大全排列中的顺序,也就是说求当前排列是第

csu 1446 Problem J Modified LCS (扩展欧几里得算法的简单应用)

这是一道扩展欧几里得算法的简单应用题,这题是在湖南多校训练赛中队友ac的一道题,在比赛之后请教了队友,然后自己把它a掉 这也是自己独自做扩展欧几里得算法的题目 题意:把题意转变下就变成了:求d1*x - d2*y = f2 - f1的解,很明显用exgcd来解 下面介绍一下exgcd的一些知识点:求ax + by = c的解 一、首先求ax + by = gcd(a,b)的解 这个

综合安防管理平台LntonAIServer视频监控汇聚抖动检测算法优势

LntonAIServer视频质量诊断功能中的抖动检测是一个专门针对视频稳定性进行分析的功能。抖动通常是指视频帧之间的不必要运动,这种运动可能是由于摄像机的移动、传输中的错误或编解码问题导致的。抖动检测对于确保视频内容的平滑性和观看体验至关重要。 优势 1. 提高图像质量 - 清晰度提升:减少抖动,提高图像的清晰度和细节表现力,使得监控画面更加真实可信。 - 细节增强:在低光条件下,抖

【数据结构】——原来排序算法搞懂这些就行,轻松拿捏

前言:快速排序的实现最重要的是找基准值,下面让我们来了解如何实现找基准值 基准值的注释:在快排的过程中,每一次我们要取一个元素作为枢纽值,以这个数字来将序列划分为两部分。 在此我们采用三数取中法,也就是取左端、中间、右端三个数,然后进行排序,将中间数作为枢纽值。 快速排序实现主框架: //快速排序 void QuickSort(int* arr, int left, int rig

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo