DDS信号的发生器(验证篇)——FPGA学习笔记8

2024-06-24 12:28

本文主要是介绍DDS信号的发生器(验证篇)——FPGA学习笔记8,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

前言:第一部分详细讲解DDS核心框图,还请读者深入阅读第一部分,以便理解DDS核心思想

三刷小梅哥视频总结!

小梅哥icon-default.png?t=N7T8https://www.corecourse.com/lander

一、DDS简介

        DDS(Direct Digital Synthesizer)即数字合成器,是一种新型的频率合成技术,具有低成本、低功耗、高分辨率、频率转换时间短、相位连续性好等优点,对数字信号处理及其硬件实现有着很重要的作用。 DDS的基本结构主要由相位累加器、相位调制器、波形数据表 ROM、 D/A 转换器等四大结构组成,其中较多设计还会在数模转换器之后增加一个低通滤波器(LPF)。 DDS 基本结构图如下图所示。

        由上图可以看出, DDS 主要由相位累加器、相位调制器、波形数据表以及 D/A 转换器构成。其中相位累加器由 N 位加法器与 N 位寄存器构成。每来一个时钟,加法器就将频率控制字与累加寄存器输出的相位数据相加,相加的结果又反馈至累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟的作用下,不断对频率控制字进行线性相位累加。即在每一个时钟脉冲输入时,相位累加器便把频率控制字累加一次。当相位累加器累加满量时就会产生一次溢出,完成一个周期的动作。相位累加器输出的数据就是合成信号的相位。相位累加器的溢出频率,就是 DDS 输出的信号频率。

        通过改变相位控制字 P_WORD 可以控制输出信号的相位参数。令相位加法器的字长为 M,当相位控制字由 0 跃变为 P_WORD 时,波形存储器(ROM)的输入为相位累加器的输出与相位控制字 P_WORD 之和,因而其输出的幅度编码相位会增加 P_WORD/2M,从而使输出的信号产生相移。

        用相位调制器输出的数据,作为波形存储器的相位采样地址,这样就可以把存储在波形存储器里的波形采样值经查表找出,完后相位到幅度的转换。 N 位的寻址 ROM 相当于把 0° -360°的正弦信号离散成具有 2N个样值的序列。若波形存储器中有 D 位数据位,则 2N 个样值的幅值以 D 位二进制数值固化在波形存储器当中。按照地址的不同可以输出相应相位的正弦信号幅值。相位—幅度变换原理图如下图所示:

        数模转换器(D/A)的作用是把合成的正弦波数字量转化为模拟量。正弦幅度量化序列经数模转换器转换后变成了包络为正弦波的阶梯波。频率合成器对数模转换器的分辨率有一定的要求,其分辨率越高,合成的正弦波台阶数就越多,输出的波形精度也就越高。 DDS 信号流程图如下图所示:

        这里相位累加器位数为 N 位( N 的取值范围实际应用中一般为 24~32),相当于把正弦信号在相位上的精度定义为 N 位,所以其分辨率为(\frac{1}{2})^{n}

若 DDS 的时钟频率为Fclk,频率控制字 fword 为 1,则输出频率为Fout =1*\frac{ Fclk}{2^{N}} ,这个频率相当于“基频”。若 fword 为 B,则输出频率为Fout =B*\frac{ Fclk}{2^{N}}

因此理论上由以上三个参数就可以得出任意的f_{0}输出频率。且可得出频率分辨率由时钟频率和累加器的位数决定的结论。当参考时钟频率越高,累加器位数越高,输出频率分辨率就越高。

从上式分析可得,当系统输入时钟频率Fclk不变时,输出信号频率由频率控制字 B 所决定,由上式可得: B =2^{N}*\frac{ Fout}{Fclk}。 其中 B 为频率字且只能取整数。为了合理控制 ROM 的容量,此处选取 ROM 查询的地址时,可以采用截断式,即只取 32 位累加器的高 M 位。这里相位寄存器输出的位数一般取 10~16 位。

频率控制字理解:

此变量A可以控制取点速度,实际就是对应框图中的频率控制字fword。time_r截取寄存器高11位与ROMd11位地址线对接,time_r低位做累加控制高位变化速率实现频率调控。

此为DDS核心所在!!

此部分正是框图中的相位累加器!!!!

相位控制字理解:

实际为控制相位初始值,说白了就是改变读取ROM数据表的起始位置!B不会改变读取频率,但可以改变初始位置,变量B就是相位控制字!!!!

二、公式讲解

                                        ​​​​​​​        ​​​​​​​        ​​​​​​​        Fout =A*\frac{ Fclk}{2^{N}}

若 DDS 的时钟频率为Fclk,N及对应time寄存器位宽,此处A为频率控制字,Fout为最终输出的信号频率。由此即可实现根据输出频率计算对应频率控制字的目的。

 计算举例:输出一个完整波形需要的时间:2048(11位RAM寄存器)*10ns(100MHz晶振) = 20480ns = 0.00002048s      对应频率为48828.125Hz

公式计算:A为22‘h10_0000(计算选取相位累加器高11位,[31:21],A取低11位[21:0],目的是为了每次增加可以改变寄存器第21位数值!)计算的频率为48828.125Hz

三、代码设计

1、验证部分顶层

`timescale 1ns / 1ps
module DDS_top(input                sys_clk,//100Mhzinput                sys_rst_n,// input      [31:0]    Fword,// input      [10:0]    Pword,output     [9 :0]    da_data);wire [10:0] rom_addr;
wire        clk_100mhz;    
assign    da_clk = clk_100mhz;parameter Fword = 32'd10000;
parameter Pword = 11'b0;DDS_FP u_DDS_FP(.sys_clk    (sys_clk)     ,
.reset_n    (sys_rst_n)     ,
.Fword      (Fword)       ,
.Pword      (Pword)       ,.rom_addr   (rom_addr)
);ROM_10x2048sin u_ROM_10x2048sin (.clka(sys_clk),    // input wire clka.addra(rom_addr),  // input wire [10 : 0] addra.douta(da_data)  // output wire [9 : 0] douta);endmodule

顶层给出固定的频率控制字,和相位控制字,下面进行理论计算:

Fout =10000*\frac{ 100000000}{2^{32}}=232.83Hz

2、验证部分DDS逻辑层

`timescale 1ns / 1psmodule DDS_FP(input                sys_clk,input                reset_n,input      [31:0]    Fword,input      [10:0]    Pword,output     [10:0]    rom_addr);
reg     [31:0]  r_Fword;
reg     [10:0]  r_Pword;reg     [31:0]  Fcnt;always @(posedge sys_clk ) beginr_Fword <= Fword;r_Pword <= Pword;
endalways @(posedge sys_clk or negedge reset_n) beginif(!reset_n)beginFcnt <= 32'd0;endelse beginFcnt <= Fcnt + r_Fword;end
endassign rom_addr = Fcnt[31:21] + r_Pword;endmodule

3、仿真部分代码

`timescale 1ns / 1ps
module DDS_tb;reg                sys_clk      ;reg                sys_rst_n    ;// reg      [31:0]    Fword     ;// reg      [10:0]    Pword     ;wire     [9 :0]    da_data      ;DDS_top u_DDS_top(
.sys_clk        (sys_clk),
.sys_rst_n      (sys_rst_n),
// .Fword       (),
// .Pword       (),
.da_data        (da_data)
);initial beginsys_clk = 1;
endalways #5 sys_clk = !sys_clk;initial beginsys_rst_n = 0;#200sys_rst_n = 1;
endendmodule

四、测试分析

1、仿真测试结果

 ​​​​​​​

2、上板验证 

测试频率与实际计算频率相差不大,验证成功,

五、未来改进

        可以使用外部按键控制,想要的频率,通过给出的计算公式计算对应的频率控制字,控制最终输出波形的频率,亦可多次例化ROM IP,添加其他不同波形。

这篇关于DDS信号的发生器(验证篇)——FPGA学习笔记8的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1090142

相关文章

51单片机学习记录———定时器

文章目录 前言一、定时器介绍二、STC89C52定时器资源三、定时器框图四、定时器模式五、定时器相关寄存器六、定时器练习 前言 一个学习嵌入式的小白~ 有问题评论区或私信指出~ 提示:以下是本篇文章正文内容,下面案例可供参考 一、定时器介绍 定时器介绍:51单片机的定时器属于单片机的内部资源,其电路的连接和运转均在单片机内部完成。 定时器作用: 1.用于计数系统,可

问题:第一次世界大战的起止时间是 #其他#学习方法#微信

问题:第一次世界大战的起止时间是 A.1913 ~1918 年 B.1913 ~1918 年 C.1914 ~1918 年 D.1914 ~1919 年 参考答案如图所示

[word] word设置上标快捷键 #学习方法#其他#媒体

word设置上标快捷键 办公中,少不了使用word,这个是大家必备的软件,今天给大家分享word设置上标快捷键,希望在办公中能帮到您! 1、添加上标 在录入一些公式,或者是化学产品时,需要添加上标内容,按下快捷键Ctrl+shift++就能将需要的内容设置为上标符号。 word设置上标快捷键的方法就是以上内容了,需要的小伙伴都可以试一试呢!

Tolua使用笔记(上)

目录   1.准备工作 2.运行例子 01.HelloWorld:在C#中,创建和销毁Lua虚拟机 和 简单调用。 02.ScriptsFromFile:在C#中,对一个lua文件的执行调用 03.CallLuaFunction:在C#中,对lua函数的操作 04.AccessingLuaVariables:在C#中,对lua变量的操作 05.LuaCoroutine:在Lua中,

AssetBundle学习笔记

AssetBundle是unity自定义的资源格式,通过调用引擎的资源打包接口对资源进行打包成.assetbundle格式的资源包。本文介绍了AssetBundle的生成,使用,加载,卸载以及Unity资源更新的一个基本步骤。 目录 1.定义: 2.AssetBundle的生成: 1)设置AssetBundle包的属性——通过编辑器界面 补充:分组策略 2)调用引擎接口API

Javascript高级程序设计(第四版)--学习记录之变量、内存

原始值与引用值 原始值:简单的数据即基础数据类型,按值访问。 引用值:由多个值构成的对象即复杂数据类型,按引用访问。 动态属性 对于引用值而言,可以随时添加、修改和删除其属性和方法。 let person = new Object();person.name = 'Jason';person.age = 42;console.log(person.name,person.age);//'J

大学湖北中医药大学法医学试题及答案,分享几个实用搜题和学习工具 #微信#学习方法#职场发展

今天分享拥有拍照搜题、文字搜题、语音搜题、多重搜题等搜题模式,可以快速查找问题解析,加深对题目答案的理解。 1.快练题 这是一个网站 找题的网站海量题库,在线搜题,快速刷题~为您提供百万优质题库,直接搜索题库名称,支持多种刷题模式:顺序练习、语音听题、本地搜题、顺序阅读、模拟考试、组卷考试、赶快下载吧! 2.彩虹搜题 这是个老公众号了 支持手写输入,截图搜题,详细步骤,解题必备

《offer来了》第二章学习笔记

1.集合 Java四种集合:List、Queue、Set和Map 1.1.List:可重复 有序的Collection ArrayList: 基于数组实现,增删慢,查询快,线程不安全 Vector: 基于数组实现,增删慢,查询快,线程安全 LinkedList: 基于双向链实现,增删快,查询慢,线程不安全 1.2.Queue:队列 ArrayBlockingQueue:

【操作系统】信号Signal超详解|捕捉函数

🔥博客主页: 我要成为C++领域大神🎥系列专栏:【C++核心编程】 【计算机网络】 【Linux编程】 【操作系统】 ❤️感谢大家点赞👍收藏⭐评论✍️ 本博客致力于知识分享,与更多的人进行学习交流 ​ 如何触发信号 信号是Linux下的经典技术,一般操作系统利用信号杀死违规进程,典型进程干预手段,信号除了杀死进程外也可以挂起进程 kill -l 查看系统支持的信号

硬件基础知识——自学习梳理

计算机存储分为闪存和永久性存储。 硬盘(永久存储)主要分为机械磁盘和固态硬盘。 机械磁盘主要靠磁颗粒的正负极方向来存储0或1,且机械磁盘没有使用寿命。 固态硬盘就有使用寿命了,大概支持30w次的读写操作。 闪存使用的是电容进行存储,断电数据就没了。 器件之间传输bit数据在总线上是一个一个传输的,因为通过电压传输(电流不稳定),但是电压属于电势能,所以可以叠加互相干扰,这也就是硬盘,U盘