vivado PKGPIN_NIBBLE

2024-06-18 21:44
文章标签 vivado pkgpin nibble

本文主要是介绍vivado PKGPIN_NIBBLE,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

描述
PKGPIN_NIBBLE是PKGPIN_BYTEGROUP的一部分。参见PKGPIN_BYTEGROUP,
第122页了解该对象的描述。
相关对象
PKGPIN_BYTEGROUP和PKGPIN_NIBBLE与IO_BANK、PACKAGE_PIN和
PORT,如前所述。此外,每个PKGPIN_NIBBLE都与
Xilinx设备。您可以使用Tcl查询关联对象的PKGPIN_NIBBLE
命令如下:
get_pkgpin_nibbles-of[get_iobanks 45]
您还可以获得分配给特定pkgpin_nibbles的package_pin对象的列表:
get_package_pins-共[get_pkgpin_nibbles BANK45_BYTE2_L]
属性
pkgpin_nibble对象上的属性如下所示,其中包含示例值:

Property Type Read-only Value
CLASS string true pkgpin_nibble
IOBANK int true 45
NAME string true BANK45_BYTE2_L
PKGPIN_BYTEGROUP string true BANK45_BYTE2
TYPE string true L
The properties of pkgpin_nibble objects can be listed with the following command:
report_property -all [lindex [get_pkgpin_nibbles] 0]
PORT
描述
端口是一种特殊类型的分层引脚,在
分层设计的顶层,或分层单元中的内部连接点,或
块模块,用于将内部逻辑连接到分层单元上的引脚。端口可以是
标量,包含单个连接,或者可以是对多个信号进行分组的总线端口
在一起
相关对象
设计顶层的端口通过
设备包的PACKAGE_PIN,到裸片上的IO_BANK,分配
IOSTANDARDs。
端口还可以将时钟定义从系统或板带到设计中,并且应该
使用set_input_delay或
set_output_delay约束。请参阅Vivado Design Suite用户指南:使用
限制条件(UG903)[参考文献19],了解有关这些限制条件的更多信息。
您可以查询分配给特定package_pins、IO_banks、IO_Standards、sites的端口,
使用Tcl命令的小区、网络、时钟、timing_path或drc_conflications,如下所示:
get_ports-of[get_clocks]
在设计内部,端口通过网络连接到单元,以构建层次结构
网表。您可以查询与端口相关联的对象,如net、timing_path、site、,
io_bank、io_standard、package_pin、pkgpin_bytegroup、pkgpin _nibble,使用以下内容
命令形式:
get_package_pins-of[all_inputs]
属性
在端口对象上找到的属性如下,其中包含示例值:
Property Type Read-only Visible Value
BOARD_PART_PIN string false true
BOARD_PIN string false false
BUFFER_TYPE enum false true
BUS_DIRECTION enum true true
BUS_NAME string true true
BUS_START int true true
BUS_STOP int true true
BUS_WIDTH int true true
CLASS string true true port
CLOCK_BUFFER_TYPE enum false true
DIFFTERMTYPE bool false false 0
DIFF_PAIR_PORT string true true
DIFF_PAIR_TYPE enum true true
DIFF_TERM bool false true 0
DIRECTION enum false true IN
DQS_BIAS enum false true
DRIVE enum false true 12
DRIVE_STRENGTH enum false false 12
ESSENTIAL_CLASSIFICATION_VALUE int false true
HD.ASSIGNED_PPLOCS string* true true
HD.CLK_SRC string false true
HD.LOC_FIXED bool false false 0
HD.PARTPIN_LOCS string* false true
HD.PARTPIN_RANGE string* false true
HD.PARTPIN_TIEOFF bool false true
HOLD_SLACK double true true needs timing update***
IBUF_LOW_PWR bool false true 0
INTERFACE string false true
INTERMTYPE enum false false NONE
IN_TERM enum false true NONE
IOB enum false true
IOBANK int true true 33
IOSTANDARD enum false true LVCMOS18
IOSTD enum false false LVCMOS18
IO_BUFFER_TYPE enum false true
IS_BEL_FIXED bool false false 1
IS_FIXED bool false false 1
IS_GT_TERM bool true true 0
IS_LOC_FIXED bool false true 1
IS_REUSED bool true true
KEEP string false true
KEEPER bool false false 0
LOAD double false true
LOC site false true IOB_X1Y43
LOGIC_VALUE string true true unknown
NAME string false true reset
OFFCHIP_TERM string false true NONE
OUT_TERM enum false true
PACKAGE_PIN package_pin false true W9
PIN_TYPE enum true false
PIO_DIRECTION enum false true
PULLDOWN bool false false 0
PULLTYPE string false true
PULLUP bool false false 0
SETUP_SLACK double true true needs timing update***
SITE site false false IOB_X1Y43
SLEW enum false true
SLEWTYPE enum false false
SLEW_ADV enum false false
UNCONNECTED bool true true 0
USE_INTERNAL_VREF enum false true
VCCAUX_IO enum false true
XLNX_LINE_COL int false false
XLNX_LINE_FILE long false false
X_INTERFACE_INFO string false true
The properties of ports can be listed with the following command:
report_property -all [lindex [get_ports] 0]

这篇关于vivado PKGPIN_NIBBLE的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1073140

相关文章

[vivado][IP核]FFT

刘东华的IP核详解: 1、 2、

[vivado][IP核]DDS

刘东华的IP核详解: 1、 这里的是指IP核配置中的相位数据的宽度。 2、 实际使用此IP核时并没有“频率分辨率”可以配,是靠改变来变的。 3、 4、 5、 数据输出的ready在数据正式输出时才会有。 自己仿真: 使用SIN/COS LUT only的模式,使用一个累加器作为相位输入,不知怎么,输出为X。

[vivado]translate_off\on

答疑帖: 1)https://forums.xilinx.com/t5/Synthesis/Question-about-synthesis-translate-on-and-translate-off/td-p/658790

[vivado]例子中的glbl文件

答疑帖:https://www.xilinx.com/support/answers/6537.html

[ip核][vivado]aurora

Xapp1193:  discovered:1)并不是所有芯片都支持aurora.xc7z010就没有。                     2)XDC文件的指令-允许未约束的引脚的存在:                 set_property BITSTREAM.General.UnconstrainedPins {Allow} [current_design] PG046

[ip核][vivado]Block Menory Gennerator 学习

<刘东华的xilinx系列FPGA芯片IP核详解>读书摘录: 1. 2. 3.

[ip核][vivado]FIFO 学习

<xlinx FPGA应用进阶 通用IP核详解和设计开发>读书摘录: 1.        2.3.仿真模型 特点总结:1)复位后会有busy状态,需要等待wr_rst_busy信号低电平后才能正常写入                  2)prog_full信号的高电平长度可调                  3)仿真中的读状态很奇怪,并没有正常读取,都是XXX的状态。 所用的te

【汇总】vivado_zynq学习资料

DMA:https://www.xilinx.com/support/answers/57550.html

vivado WIRE

WIRE是用于在Xilinx部件上路由连接或网络的设备对象。一根电线 是单个瓦片内部的一条互连金属。PIP、系紧装置和 SITE_PINs。 提示:WIRE对象不应与设计的Verilog文件中的WIRE实体混淆。那些 电线在设计中与网络有关,而不是与定义的设备的路由资源有关 WIRE对象。 相关对象 如图2-33第119页所示,WIRE对象与TILE、NODE、PIP或NET相关。 您可以使用

【FPGA】Vivado从此开始 高亚军 笔记

impl的设置及相关-20201210 1.如何使用non-project模式 2.如何设置增量编译,增量编译需要什么预准备,增量编译怎么运行 3.synth的 策略怎么设置 4.no lc;ooc;dcp;impl的综合,增量编译的含义 5. vivado的xsim工具 输入时钟设置 -20201214