数电实验中设计数字钟所用到的代码详细版(Verilog语言来实现)

本文主要是介绍数电实验中设计数字钟所用到的代码详细版(Verilog语言来实现),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档

文章目录

  • 前言
  • 一、模6计数器的代码:
  • 二、模10计数器的代码
  • 三、模60计数器的代码
  • 四、模24计数器的代码
  • 五、显示控制电路模块代码
  • 六、1khz和250khz时钟输出实现的代码
  • 七、数字钟的实现代码
  • 八、引脚设置:
  • 总结


前言

提示:这里可以添加本文要记录的大概内容:
这里主要展现实现数字钟的重要步骤的代码,有需求者自取。我们利用的是quartus II软件来实现。

一、模6计数器的代码:

module counter6(
input clk,rstn,ce,
output reg[3:0]counter6,
output tc6);assign tc6=(counter6==4'd5);
always @(posedge clk)
beginif(!rstn)counter6 = 4'd0;else if(~ce)counter6<=counter6;else if(counter6>=4'd5)counter6<=4'd0;elsecounter6<=counter6+1'b1; 
end 
endmodule 

仿真:
在这里插入图片描述

二、模10计数器的代码


module counter10(
input clk,rstn,ce,
output reg[3:0]counter10,
output tc10);assign tc10=(counter10==4'd9);
always @(posedge clk)
beginif(!rstn)counter10 = 4'd0;else if(~ce)counter10<=counter10;else if(counter10>=4'd9)counter10<=4'd0;elsecounter10<=counter10+1'b1; 
end 
endmodule 

仿真:
在这里插入图片描述

三、模60计数器的代码

这里需要调用上面模6和模10模块,故而应该将他们放到同一个工程下。

module counter60(
input clk,rstn,ce,
output [7:0]counter60,
output tc60);
wire tc10,tc6;
wire ce1;
counter6 u1(
.clk(clk),
.rstn(rstn),
.ce(ce1),
.counter6(counter60[7:4]),
.tc6(tc6));counter10 u2(
.clk(clk),
.rstn(rstn),
.ce(ce),
.counter10(counter60[3:0]),
.tc10(tc10));assign ce1=(counter60[3:0]==4'h9)&ce;//29的时候能保持
assign tc60=(tc10&tc6);
endmodule 

仿真:
在这里插入图片描述

四、模24计数器的代码

这里也需要调用模10和模6的模块,应该将他们放到同一个工程下面

module counter60(
input clk,rstn,ce,
output [7:0]counter60,
output tc60);
wire tc10,tc6;
wire ce1;
counter6 u1(
.clk(clk),
.rstn(rstn),
.ce(ce1),
.counter6(counter60[7:4]),
.tc6(tc6));counter10 u2(
.clk(clk),
.rstn(rstn),
.ce(ce),
.counter10(counter60[3:0]),
.tc10(tc10));assign ce1=(counter60[3:0]==4'h9)&ce;//29的时候能保持
assign tc60=(tc10&tc6);
endmodule 

仿真:
在这里插入图片描述

五、显示控制电路模块代码

module segdis(
input clk,rstn,
input [3:0]dig6,dig5,dig4,dig3,dig2,dig1,
output reg[6:0]dig_out,
output reg[5:0]dig_cs);
always@(posedge clk)
begin 
if(!rstn)dig_cs  <= 6'b111110;
else 	    dig_cs <= {dig_cs[4:0],dig_cs[5]};
end 
reg [3:0]dig_num;
always@(*)begin
case(dig_cs)
6'b111110:dig_num<=dig1;
6'b111101:dig_num<=dig2;
6'b111011:dig_num<=dig3;
6'b110111:dig_num<=dig4;
6'b101111:dig_num<=dig5;
6'b011111:dig_num<=dig6;
default:  dig_num<=dig6;
endcase
end 
always@(*)begin
case(dig_num)
4'd0:dig_out=7'b111_1110;
4'd1:dig_out=7'b011_0000;
4'd2:dig_out=7'b110_1101;
4'd3:dig_out=7'b111_1001;
4'd4:dig_out=7'b011_0011;
4'd5:dig_out=7'b101_1011;
4'd6:dig_out=7'b001_1111;
4'd7:dig_out=7'b111_0000;
4'd8:dig_out=7'b111_1111;
4'd9:dig_out=7'b111_1011;
default:dig_out=7'b111_1011;
endcase
end
//1 clk dig_cs
// mux dig6-dig1==>dig
//显示译码
endmodule 

六、1khz和250khz时钟输出实现的代码

  • 1khz:
module clock_div_1hz(
input clk,rstn,
output reg clk1hz);
reg [25:0] cnt1hz;always@(posedge clk)begin 
if(~rstn)begin cnt1hz <=26'd0;clk1hz <=1'b1;end
else if(cnt1hz>=26'd24999999)begin cnt1hz <=26'd0;clk1hz <= ~clk1hz;end
else begincnt1hz <=cnt1hz +1'b1;clk1hz <=clk1hz;end
end 
endmodule 
  • 250khz:
module clock_div_1hz(
input clk,rstn,
output reg clk1hz);
reg [25:0] cnt1hz;always@(posedge clk)begin 
if(~rstn)begin cnt1hz <=26'd0;clk1hz <=1'b1;end
else if(cnt1hz>=26'd24999999)begin cnt1hz <=26'd0;clk1hz <= ~clk1hz;end
else begincnt1hz <=cnt1hz +1'b1;clk1hz <=clk1hz;end
end 
endmodule 

七、数字钟的实现代码

这里需要调用前面的模24,模60,显示模块,1KHZ,250KHZ模块一块实现

module top(
input clk,rstn,en,
output [6:0]dig_out,
output [5:0]dig_cs);wire clk1;
wire clk2;
wire [7:0]counter24;
wire [7:0]counter60m;
wire [7:0]counter60s;
wire en1;
wire en2;
wire tc60s;
wire tc60m;
clock_div_250k u1(
.clk(clk),.rstn(rstn),.clk250khz(clk1));counter24 u3(
.clk(clk2),
.rstn(rstn),
.ce(en2),
.counter24(counter24));clock_div_1hz u4(
.clk(clk),
.rstn(rstn),
.clk1hz(clk2));
counter60 u5(.clk(clk2),
.rstn(rstn),
.ce(en1),
.counter60(counter60m),
.tc60(tc60m));
counter60 u6(.clk(clk2),
.rstn(rstn),
.ce(en),
.counter60(counter60s),
.tc60(tc60s));
segdis u2(
.clk(clk1),.rstn(rstn),.dig6(counter24[7:4]),.dig5(counter24[3:0]),.dig4(counter60m[7:4]),.dig3(counter60m[3:0]),
.dig2(counter60s[7:4]),.dig1(counter60s[3:0]),.dig_out(dig_out),.dig_cs(dig_cs));
assign en1=tc60s&en;
assign en2=tc60s&tc60m&en;endmodule 

八、引脚设置:

在这里插入图片描述

总结

本文主要展现了实现数字钟模块的几步重要代码,有需要者自取。

这篇关于数电实验中设计数字钟所用到的代码详细版(Verilog语言来实现)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1034565

相关文章

不懂推荐算法也能设计推荐系统

本文以商业化应用推荐为例,告诉我们不懂推荐算法的产品,也能从产品侧出发, 设计出一款不错的推荐系统。 相信很多新手产品,看到算法二字,多是懵圈的。 什么排序算法、最短路径等都是相对传统的算法(注:传统是指科班出身的产品都会接触过)。但对于推荐算法,多数产品对着网上搜到的资源,都会无从下手。特别当某些推荐算法 和 “AI”扯上关系后,更是加大了理解的难度。 但,不了解推荐算法,就无法做推荐系

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

活用c4d官方开发文档查询代码

当你问AI助手比如豆包,如何用python禁止掉xpresso标签时候,它会提示到 这时候要用到两个东西。https://developers.maxon.net/论坛搜索和开发文档 比如这里我就在官方找到正确的id描述 然后我就把参数标签换过来

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo

C#实战|大乐透选号器[6]:实现实时显示已选择的红蓝球数量

哈喽,你好啊,我是雷工。 关于大乐透选号器在前面已经记录了5篇笔记,这是第6篇; 接下来实现实时显示当前选中红球数量,蓝球数量; 以下为练习笔记。 01 效果演示 当选择和取消选择红球或蓝球时,在对应的位置显示实时已选择的红球、蓝球的数量; 02 标签名称 分别设置Label标签名称为:lblRedCount、lblBlueCount

poj 1258 Agri-Net(最小生成树模板代码)

感觉用这题来当模板更适合。 题意就是给你邻接矩阵求最小生成树啦。~ prim代码:效率很高。172k...0ms。 #include<stdio.h>#include<algorithm>using namespace std;const int MaxN = 101;const int INF = 0x3f3f3f3f;int g[MaxN][MaxN];int n

科研绘图系列:R语言扩展物种堆积图(Extended Stacked Barplot)

介绍 R语言的扩展物种堆积图是一种数据可视化工具,它不仅展示了物种的堆积结果,还整合了不同样本分组之间的差异性分析结果。这种图形表示方法能够直观地比较不同物种在各个分组中的显著性差异,为研究者提供了一种有效的数据解读方式。 加载R包 knitr::opts_chunk$set(warning = F, message = F)library(tidyverse)library(phyl