reginit专题

chisel RegInit/UInt/U

val reg = RegInit(0.U(8.W)) //okval reg = RegInit(0.UInt(8.W)) //err U 使用在数字 . 后边50.U UInt 使用在IO(new Bundle val a = Input(UInt(8.W)) 或者 def counter(max:UInt, a1:UInt) package emptyimport chi