mini2440之Main分析

2024-05-09 21:38
文章标签 分析 main mini2440

本文主要是介绍mini2440之Main分析,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

 mini2440之Main分析 
/****************************************************************
 NAME: u2440mon.c
 DESC: u2440mon entry point,menu,download
 HISTORY:
 Mar.25.2002:purnnamu: S3C2400X profile.c is ported for S3C2410X.
 Mar.27.2002:purnnamu: DMA is enabled.
 Apr.01.2002:purnnamu: isDownloadReady flag is added.
 Apr.10.2002:purnnamu: - Selecting menu is available in the waiting loop. 
                         So, isDownloadReady flag gets not needed
                       - UART ch.1 can be selected for the console.
 Aug.20.2002:purnnamu: revision number change 0.2 -> R1.1       
 Sep.03.2002:purnnamu: To remove the power noise in the USB signal, the unused CLKOUT0,1 is disabled.
 ****************************************************************/
#define GLOBAL_CLK  1
#include <stdlib.h>   //声明一些执行数值转换,内存分配以及其他类似工作的函数
#include <string.h>   //字符串函数
#include "def.h"
#include "option.h"
#include "2440addr.h"
#include "2440lib.h"
#include "2440slib.h"
#include "mmu.h"
#include "profile.h"
#include "memtest.h"

extern char Image$$RO$$Limit[];
extern char Image$$RO$$Base[];
extern char Image$$RW$$Limit[];
extern char Image$$RW$$Base[];
extern char Image$$ZI$$Limit[];
extern char Image$$ZI$$Base[];
void Isr_Init(void);
void HaltUndef(void);
void HaltSwi(void);
void HaltPabort(void);
void HaltDabort(void);
void ClearMemory(void);

void Clk0_Enable(int clock_sel); 
void Clk1_Enable(int clock_sel);
void Clk0_Disable(void);
void Clk1_Disable(void);
//extern void TFT_LCD_Init(void);
//extern void TFT_LCD_Test(void);
extern void TFT_LCD_Init(void);
extern void TFT_LCD_Test(void);
extern void Test_Touchpanel(void) ;
extern void Test_Adc(void) ;  //adc test
extern void KeyScan_Test(void) ;
extern void RTC_Display(void) ;
extern void Test_IrDA_Tx(void) ;
extern void PlayMusicTest(void) ;
extern void RecordTest( void ) ;
extern void Test_Iic(void) ;
extern void Test_SDI(void) ;
extern void Camera_Test( void ) ;
//volatile影响编译器编译的结果,指出volatile变量是随时可能发生变化的,与volatile变量有关的运算,不要进行编译优化。
volatile U32 downloadAddress;
// void (*restart)(void),定义一个指针,指针名为restart,指针指向函数,函数的返回类型为void
//(void (*)(void))0x0,将0x0强制转换,使其符合等号左边的类型。
void (*restart)(void)=(void (*)(void))0x0;
volatile U8  *downPt;
volatile U32 downloadFileSize;
volatile U16 checkSum;
volatile U32 err=0;
volatile U32 totalDmaCount;
volatile S32 isUsbdSetConfiguration;
int download_run=0;
U32 tempDownloadAddress;
int menuUsed=0;
U32 *pMagicNum=(U32 *)Image$$RW$$Limit;
int consoleNum;
/*   在全局变量之前,加上关键字static,全局变量就被定义成为一个全局静态变量。
1)内存中的位置:静态存储区(静态存储区在整个程序运行期间都存在)
2)初始化:未经初始化的全局静态变量会被程序自动初始化为0
3)作用域:全局静态变量在声明他的文件之外是不可见的。准确地将从定义之处开始到文件结尾
*/
static U32 cpu_freq;
static U32 UPLL;
/*在函数的返回类型前加上关键字static,函数就被定义成为静态函数。
  函数的定义和声明默认情况下是extern的,但静态函数只是在声明它的文件当中可见,不能被其他文件使用。
*/
static void cal_cpu_bus_clk(void)
{
 U32 val;
 U8 m, p, s;
 
 val = rMPLLCON;
 m = (val>>12)&0xff;//m=92=MDIV
 p = (val>>4)&0x3f; //p=1=PDIV
 s = val&3;         //s=1=SDIV
/*按照手册上面的计算,Fout=2*m*Fin/(p*2s),其中Fin=12MHz。但m、p、s与上面的不一样。公式中m=MDIV+8,p=PDIV+2,s=SDIV
    (1<<s),将1左移S位。逻辑左移相当于乘以2的N次方。而逻辑右移,相当于除以2的N次方
     FIN、FCLK在option.h中定义,FIN=12000000,经计算FCLK=400MHz
 */
 //(m+8)*FIN*2 不要超出32位数!
 FCLK = ((m+8)*(FIN/100)*2)/((p+2)*(1<<s))*100;
 
 val = rCLKDIVN;
 m = (val>>1)&3;  // m=2=HDIVN,HCLK=FCLK/4
 p = val&1;      //p=1=PDIVN
 val = rCAMDIVN;  //由于之前没有设置过CAMDIVN寄存器,所以是默认值s=0x0,其最后两位00,代表没移位之前的CAMDIVN[9:8]
 s = val>>8;
 
 switch (m) {
 case 0:
  HCLK = FCLK;    //当HDIV[2:1]=00,HCLK=FCLK/1
  break;
 case 1:
  HCLK = FCLK>>1;  //当HDIV[2:1]=01,HCLK=FCLK/2
  break;
 case 2:
  if(s&2)
   HCLK = FCLK>>3;  //当HDIV[2:1]=10,CAMDIVN[9]=1,则表示HCLK=FCLK/8
  else
   HCLK = FCLK>>2;  //当HDIV[2:1]=10,CAMDIVN[9]=0,则表示HCLK=FCLK/4
  break;
 case 3:
  if(s&1)
   HCLK = FCLK/6;  //当HDIV[2:1]=11,CAMDIVN[8]=1,则表示HCLK=FCLK/6
  else
   HCLK = FCLK/3;  //当HDIV[2:1]=11,CAMDIVN[8]=0,则表示HCLK=FCLK/3
  break;
 }
 
 if(p)
  PCLK = HCLK>>1;  //当PDIVN=1,PCLK=HCLK/2
 else
  PCLK = HCLK;   //当PDIVN=0,PCLK=HCLK/1
 
 if(s&0x10)      //CAMDIVN[12]=0,CPU频率等于HCLK频率
  cpu_freq = HCLK;
 else
  cpu_freq = FCLK;  //CAMDIVN[12]=1,表示CPU频率等于FCLK频率
  
 val = rUPLLCON;   //UPLLCON在Main函数里没有设置,但在2440init里有设置
 m = (val>>12)&0xff;  //m=56=MDIV
 p = (val>>4)&0x3f;   //p=2=PDIV
 s = val&3;//s=2=SDIV
 UPLL = ((m+8)*FIN)/((p+2)*(1<<s));  //UPLL的计算方法,同MPLL一样,经计算知,UPLL=48MHz
 UCLK = (rCLKDIVN&8)?(UPLL>>1):UPLL;  //根据2440init里CLKVAL的值,CLKDIVN[3]= DIVN_UPLL=0,rCLKDIVN&8=0,所以UCLK=UPLL=48MHz
}
void Temp_function() { Uart_Printf("\nPlease input 1-16 to select test!!!\n"); }
struct {
 void (*fun)(void);    //声明一个结构体
 char  *tip;          //声明一个函数指针
}CmdTip[] = {            //结构体初始化
    { Temp_function, "Please input 1-16 to select test" } ,
    { BUZZER_PWM_Test, "Test PWM" } ,
    { RTC_Display, "RTC time display" } ,
    { Test_Adc, "Test ADC" } ,
    { KeyScan_Test, "Test interrupt and key scan" } ,
    { Test_Touchpanel, "Test Touchpanel" } ,
    { TFT_LCD_Test, "Test TFT-LCD or VGA1024x768 module" } ,
    { Test_Iic, "Test IIC EEPROM, if use QQ2440, please remove the LCD" } ,
    { PlayMusicTest, "UDA1341 play music" } ,
    { Test_SDI, "Test SD Card" } ,
    { Camera_Test, "Test CMOS Camera"},
    { 0, 0}      
   };

void Main(void)
{
 char *mode;
 int i;
 U8 key;
 U32 mpll_val = 0 ;
  //U32 divn_upll = 0 ;
//#if如果给定条件为真,则编译下面代码,直到出现#else、#elif或#endif为止;否则就不编译。ADS10在option.h定义,ADS10=1,这段没有任何作用    
 #if ADS10   
// __rt_lib_init(); //for ADS 1.0
 #endif
//端口初始化,设置GPA/B/C/D/E/F/G/H/J相应的管脚,EXTINT0/1/2/3  
 Port_Init();
//设置中断服务程序,初始化。把所有中断设置为IRQ模式,屏蔽所有中断请求 
 Isr_Init();
 
 i = 2 ;  //don't use 100M!
   //boot_params.cpu_clk.val = 3;
 switch ( i ) {
 case 0:  //200
  key = 12;
  mpll_val = (92<<12)|(4<<4)|(1);
  break;
 case 1:  //300
  key = 13;
  mpll_val = (67<<12)|(1<<4)|(1);
  break;
 case 2:  //400
 //设置时钟分频比的值,FCLK:HCLK:PCLK
  key = 14;
 //设置FCLK的值,MDIV=92,PDIV=1,SDIV=1
  mpll_val = (92<<12)|(1<<4)|(1);
  break;
 case 3:  //440!!!
  key = 14;
  mpll_val = (102<<12)|(1<<4)|(1);
  break;
 default:
  key = 14;
  mpll_val = (92<<12)|(1<<4)|(1);
  break;
 }
 
  //init FCLK=400M, so change MPLL first
 ChangeMPllValue((mpll_val>>12)&0xff, (mpll_val>>4)&0x3f, mpll_val&3);
 ChangeClockDivider(key, 12);
 cal_cpu_bus_clk();
//串口设置:串口选择,串口波特率设置; 
 consoleNum = 0;  // Uart 1 select for debug.
 Uart_Init( 0,115200 );
 Uart_Select( consoleNum );
 
 Beep(2000, 100);
 
 Uart_SendByte('\n');
 Uart_Printf("<***********************************************>\n");
 Uart_Printf("           SBC2440 Test Program VER1.0\n");
 Uart_Printf("                 www.arm9.net\n");
 Uart_Printf("      Build time is: %s  %s\n", __DATE__ , __TIME__  );
    Uart_Printf( "          Image$$RO$$Base  = 0x%x\n", Image$$RO$$Base );
 Uart_Printf( "          Image$$RO$$Limit = 0x%x\n", Image$$RO$$Limit );
 Uart_Printf( "          Image$$RW$$Base  = 0x%x\n", Image$$RW$$Base );
 Uart_Printf( "          Image$$RW$$Limit = 0x%x\n", Image$$RW$$Limit );
    Uart_Printf( "          Image$$ZI$$Base  = 0x%x\n", Image$$ZI$$Base );
    Uart_Printf( "          Image$$ZI$$Limit = 0x%x\n", Image$$ZI$$Limit );
 Uart_Printf("<***********************************************>\n");
//不同种类的控制寄存器设置,这里主要是选择USB端口
 rMISCCR=rMISCCR&~(1<<3);   // 选择USB device,而不是USB host
 rMISCCR=rMISCCR&~(1<<13);  // 设置Port1有效

//
//  USBD should be initialized first of all.
//
// isUsbdSetConfiguration=0;
 
// rd_dm9000_id();   //
// rGPBCON &= ~(3<<20); //CF_CARD Power
// rGPBCON |= 1<<20;
// rGPBDAT |= 1<<10;
// rDSC0 = 0x155;
// rDSC1 = 0x15555555;
//设置输出管脚驱动电流
 rDSC0 = 0x2aa;
 rDSC1 = 0x2aaaaaaa;
  //Enable NAND, USBD, PWM TImer, UART0,1 and GPIO clock,
 //the others must be enabled in OS!!!
//设置时钟控制寄存器,选择各个外部接口的时钟源
 rCLKCON = 0xfffff0;
 

  //MMU_EnableICache();
  MMU_Init(); //内存存储管理。裸奔暂时用不上
   //Uart_Printf("NOR Flash ID is 0x%08x\n", GetFlashID());
pISR_SWI=(_ISR_STARTADDRESS+0xf0); //for pSOS
  // GPB5=nLED1,GPB6=nLED2,GPB7=nLED3,GPB8=nLED4
    //LED2、3亮
 Led_Display(0x66);
#if USBDMA
 mode="DMA";
#else
 mode="Int";
#endif
 // CLKOUT0/1 select.
 //Uart_Printf("CLKOUT0:MPLL in, CLKOUT1:RTC clock.\n");
 //Clk0_Enable(0); // 0:MPLLin,  1:UPLL, 2:FCLK, 3:HCLK, 4:PCLK, 5:DCLK0
 //Clk1_Enable(2); // 0:MPLLout, 1:UPLL, 2:RTC,  3:HCLK, 4:PCLK, 5:DCLK1 
 Clk0_Disable();
 Clk1_Disable();
//回读PLL控制寄存器的值到变量中;
 mpll_val = rMPLLCON;
 
 TFT_LCD_Init();
// TFT_LCD_Init();
  
 download_run=1;  //The default menu is the Download & Run mode.
while(1)
 {
  U8 idx;
  
  Uart_Printf("\nPlease select function : \n"); 
  for(i=0; CmdTip[i].fun!=0; i++)
   Uart_Printf("%d : %s\n", i, CmdTip[i].tip);
  idx = Uart_GetIntNum_GJ() ;  //函数功能将串口接收到的字符串根据形式(进制)转换为int数据返回
  
if(idx<i)
  {
   (*CmdTip[idx].fun)();   //调用相应的功能函数
   Delay(20);
   Uart_Init( 0,115200 );
  } 
 
 }    
}
void Isr_Init(void)
{
 pISR_UNDEF=(unsigned)HaltUndef; 
 pISR_SWI  =(unsigned)HaltSwi;
 pISR_PABORT=(unsigned)HaltPabort;
 pISR_DABORT=(unsigned)HaltDabort;
 rINTMOD=0x0;        // All=IRQ mode
 rINTMSK=BIT_ALLMSK;    // All interrupt is  masked.
 //pISR_URXD0=(unsigned)Uart0_RxInt; 
 //rINTMSK=~(BIT_URXD0);   //enable UART0 RX Default value=0xffffffff
//#if 1
// pISR_USBD =(unsigned)IsrUsbd;
// pISR_DMA2 =(unsigned)IsrDma2;
//#else
// pISR_IRQ =(unsigned)IsrUsbd; 
  //Why doesn't it receive the big file if use this. (???)
  //It always stops when 327680 bytes are received.
//#endif 
// ClearPending(BIT_DMA2);
// ClearPending(BIT_USBD);
 //rINTMSK&=~(BIT_USBD);  
   
 //pISR_FIQ,pISR_IRQ must be initialized
}

void HaltUndef(void)
{
 Uart_Printf("Undefined instruction exception!!!\n");
 while(1);
}
void HaltSwi(void)
{
 Uart_Printf("SWI exception!!!\n");
 while(1);
}
void HaltPabort(void)
{
 Uart_Printf("Pabort exception!!!\n");
 while(1);
}
void HaltDabort(void)
{
 Uart_Printf("Dabort exception!!!\n");
 while(1);
}

void ClearMemory(void)
{
 //int i;
 //U32 data;
 int memError=0;
 U32 *pt;  //设定一个指针变量pt,用来存储内存的每一个存储单元的地址,因为本系统构建了32位的数据总线,因此pt的类型为无符号整形unsigned int。
 
 Uart_Printf("Clear Memory (%xh-%xh):WR",_RAM_STARTADDRESS,HEAPEND);  //输出log,信息为存储测试开始,首先进行men写操作,输出操作范围为地址0x30000000h到0x33ff0000h
pt=(U32 *)_RAM_STARTADDRESS;  //开始,首先将起始地址赋给指针变量pt,指针指向测试的起始地址0x30000000
 while((U32)pt < HEAPEND)      //定义一个循环语句,循环结束的条件是pt>0x33ff0000
 {
  *pt=(U32)0x0;  //清除内存开始
  pt++;          //pt指向下一个存储单元格
 }
 
 if(memError==0)Uart_Printf("\b\bO.K.\n");  //条件比较中看标志变量memError是否为假,如果为假即无错误发生,检测结束,输出"O.K.",程序结束。
}
void Clk0_Enable(int clock_sel) 
// 0:MPLLin, 1:UPLL, 2:FCLK, 3:HCLK, 4:PCLK, 5:DCLK0
 rMISCCR = rMISCCR&~(7<<4) | (clock_sel<<4);
 rGPHCON = rGPHCON&~(3<<18) | (2<<18);
}
void Clk1_Enable(int clock_sel)
// 0:MPLLout, 1:UPLL, 2:RTC, 3:HCLK, 4:PCLK, 5:DCLK1 
 rMISCCR = rMISCCR&~(7<<8) | (clock_sel<<8);
 rGPHCON = rGPHCON&~(3<<20) | (2<<20);
}
void Clk0_Disable(void)
{
 rGPHCON = rGPHCON&~(3<<18);  // GPH9 Input
}
void Clk1_Disable(void)
{
 rGPHCON = rGPHCON&~(3<<20);  // GPH10 Input
}

在我们搭建好2440的硬件平台后,我们在调试硬件的时候,通常需要将系统的时钟测试引脚引出来测试,以确认系统是否达到设计的要求。2440提供了CLKOUT0和CLKOUT1这两个引脚用于测试时钟。可以通过设置MISCCR寄存器的CLKSEL0和CLKSEL1位来选择CLKOUT0和CLKOUT1的时钟源。其时钟源分别有000=MPLL输出,001为UPLL输出,010为RTC输出,011为HCLK,100为PCLK,101为DCLK1/0,11X为保留的。

这篇关于mini2440之Main分析的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/974575

相关文章

性能分析之MySQL索引实战案例

文章目录 一、前言二、准备三、MySQL索引优化四、MySQL 索引知识回顾五、总结 一、前言 在上一讲性能工具之 JProfiler 简单登录案例分析实战中已经发现SQL没有建立索引问题,本文将一起从代码层去分析为什么没有建立索引? 开源ERP项目地址:https://gitee.com/jishenghua/JSH_ERP 二、准备 打开IDEA找到登录请求资源路径位置

SWAP作物生长模型安装教程、数据制备、敏感性分析、气候变化影响、R模型敏感性分析与贝叶斯优化、Fortran源代码分析、气候数据降尺度与变化影响分析

查看原文>>>全流程SWAP农业模型数据制备、敏感性分析及气候变化影响实践技术应用 SWAP模型是由荷兰瓦赫宁根大学开发的先进农作物模型,它综合考虑了土壤-水分-大气以及植被间的相互作用;是一种描述作物生长过程的一种机理性作物生长模型。它不但运用Richard方程,使其能够精确的模拟土壤中水分的运动,而且耦合了WOFOST作物模型使作物的生长描述更为科学。 本文让更多的科研人员和农业工作者

MOLE 2.5 分析分子通道和孔隙

软件介绍 生物大分子通道和孔隙在生物学中发挥着重要作用,例如在分子识别和酶底物特异性方面。 我们介绍了一种名为 MOLE 2.5 的高级软件工具,该工具旨在分析分子通道和孔隙。 与其他可用软件工具的基准测试表明,MOLE 2.5 相比更快、更强大、功能更丰富。作为一项新功能,MOLE 2.5 可以估算已识别通道的物理化学性质。 软件下载 https://pan.quark.cn/s/57

衡石分析平台使用手册-单机安装及启动

单机安装及启动​ 本文讲述如何在单机环境下进行 HENGSHI SENSE 安装的操作过程。 在安装前请确认网络环境,如果是隔离环境,无法连接互联网时,请先按照 离线环境安装依赖的指导进行依赖包的安装,然后按照本文的指导继续操作。如果网络环境可以连接互联网,请直接按照本文的指导进行安装。 准备工作​ 请参考安装环境文档准备安装环境。 配置用户与安装目录。 在操作前请检查您是否有 sud

线性因子模型 - 独立分量分析(ICA)篇

序言 线性因子模型是数据分析与机器学习中的一类重要模型,它们通过引入潜变量( latent variables \text{latent variables} latent variables)来更好地表征数据。其中,独立分量分析( ICA \text{ICA} ICA)作为线性因子模型的一种,以其独特的视角和广泛的应用领域而备受关注。 ICA \text{ICA} ICA旨在将观察到的复杂信号

【软考】希尔排序算法分析

目录 1. c代码2. 运行截图3. 运行解析 1. c代码 #include <stdio.h>#include <stdlib.h> void shellSort(int data[], int n){// 划分的数组,例如8个数则为[4, 2, 1]int *delta;int k;// i控制delta的轮次int i;// 临时变量,换值int temp;in

三相直流无刷电机(BLDC)控制算法实现:BLDC有感启动算法思路分析

一枚从事路径规划算法、运动控制算法、BLDC/FOC电机控制算法、工控、物联网工程师,爱吃土豆。如有需要技术交流或者需要方案帮助、需求:以下为联系方式—V 方案1:通过霍尔传感器IO中断触发换相 1.1 整体执行思路 霍尔传感器U、V、W三相通过IO+EXIT中断的方式进行霍尔传感器数据的读取。将IO口配置为上升沿+下降沿中断触发的方式。当霍尔传感器信号发生发生信号的变化就会触发中断在中断

kubelet组件的启动流程源码分析

概述 摘要: 本文将总结kubelet的作用以及原理,在有一定基础认识的前提下,通过阅读kubelet源码,对kubelet组件的启动流程进行分析。 正文 kubelet的作用 这里对kubelet的作用做一个简单总结。 节点管理 节点的注册 节点状态更新 容器管理(pod生命周期管理) 监听apiserver的容器事件 容器的创建、删除(CRI) 容器的网络的创建与删除

PostgreSQL核心功能特性与使用领域及场景分析

PostgreSQL有什么优点? 开源和免费 PostgreSQL是一个开源的数据库管理系统,可以免费使用和修改。这降低了企业的成本,并为开发者提供了一个活跃的社区和丰富的资源。 高度兼容 PostgreSQL支持多种操作系统(如Linux、Windows、macOS等)和编程语言(如C、C++、Java、Python、Ruby等),并提供了多种接口(如JDBC、ODBC、ADO.NET等

OpenCV结构分析与形状描述符(11)椭圆拟合函数fitEllipse()的使用

操作系统:ubuntu22.04 OpenCV版本:OpenCV4.9 IDE:Visual Studio Code 编程语言:C++11 算法描述 围绕一组2D点拟合一个椭圆。 该函数计算出一个椭圆,该椭圆在最小二乘意义上最好地拟合一组2D点。它返回一个内切椭圆的旋转矩形。使用了由[90]描述的第一个算法。开发者应该注意,由于数据点靠近包含的 Mat 元素的边界,返回的椭圆/旋转矩形数据