【SystemVerilog】结构体真是太好用了~

2024-03-16 02:04

本文主要是介绍【SystemVerilog】结构体真是太好用了~,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

前言

        Verilog最大的缺陷之一是没有数据结构。在SystemVerilog中可以使用struct创建结构,struct只是把数据组织到一起,是数据的集合,所以是可综合的。

        结构体是可以通过模块接口进行传递的,这就是本文想描述的内容。

一、结构体的创建

1.1使用typedef创建新的类型

        typedef语句用来创建新的类型。在verilog中,可以使用宏进行定,但是宏只是在做文本替换:

//老的verilog风格`define OPSIZE 8`define OPREG reg[`OPSIZE-1 :0]1OPREG op_a op_b;

而在SV中,可以使用typedef,一般约定,所有用户自定义类型都带后缀“_t”。

//新的SystemVerilog风格parameter OPSIZE =8;typedef reg [OPSIZE-1 :0] opreg_t;opreg_t op_a,op_b;

1.2使用struct创建结构体

通过struct把若干变量组合到一个结构体中

创建一个pixel类型

struct (bit[7:0]r,g,b)pixel;

上面的声明只是创建了一个pixel变量,要想在端口和程序中共享它,则必须创建一个新的类型:

typedef struct {bit[7:0]r,g,b;}pixel_s;
pixel_s my_pixel;

1.3合并结构体

  • 合并结构允许对数据在存储器中的排布方式有更多的控制。
  • 合并结构以连续比特集的方式存放,中间没有闲置的空间。
  • 当希望减少存储器使用或存储器的部分位代表了数值时,可以使用合并结构。
    在这里插入图片描述

二、结构体的使用

        这里我们举例说明,假设需要传输一组info信息,在verilog中我们通常使用不同的bit表示不同的信息:

reg  [INFO_WIDTH-1  : 0] xx_info;assign xx_info_1 = xx_info[9:0];assign xx_info_2 = xx_info[12:10];assign xx_info_3 = xx_info[17:13];assign xx_info_4 = xx_info[31:18];

        这样做,一是不够清晰直白,二是在修改的时候就比较麻烦,还需要维护一份资料,详细记录。曾接手一个项目,一些信号就这样存过来,存过去,bug一堆基本都是信号没对齐,没取到正确的数据导致的。

换SV来做的话,就可以使用结构体:

typedef struct packed{logic   [9:0]   xx_info_1 ;logic   [2:0]   xx_info_2 ;logic   [4:0]   xx_info_3 ;logic   [13:0]  xx_info_4 ;}xx_info_t;

结构体直接在接口传输:

input  xx_info_t   xx_info;assign xx_info_1 = xx_info_t.xx_info_1;assign xx_info_2 = xx_info_t.xx_info_2;assign xx_info_3 = xx_info_t.xx_info_3;assign xx_info_4 = xx_info_t.xx_info_4;

如果是嵌套:

xx_info_4 = xx_info_t.xx_info_4_t.xx_info4;

        除了引用方便之外,结构体在仿真看波形的时候也特别好用,假设使用第一种Verilog的表示方法,我们只能截取特定bit位进行查看,而结构体则可以以一个集合的形式展现,双击直接就可以展开查看结构体内部各变量元素,同时可以通过参数定义,英文字母显示,debug时候比一堆数字好辨认的多~ 

        另外在使用的时候,建议单独建立一个结构体文件xx_struct.sv  ,然后在需要使用结构体的模块将这个文件包含进去就好。

更多内容: 绿皮书

这篇关于【SystemVerilog】结构体真是太好用了~的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/813947

相关文章

usaco 1.3 Mixing Milk (结构体排序 qsort) and hdu 2020(sort)

到了这题学会了结构体排序 于是回去修改了 1.2 milking cows 的算法~ 结构体排序核心: 1.结构体定义 struct Milk{int price;int milks;}milk[5000]; 2.自定义的比较函数,若返回值为正,qsort 函数判定a>b ;为负,a<b;为0,a==b; int milkcmp(const void *va,c

无线领夹麦克风什么牌子好用?揭秘领夹麦克风哪个牌子音质好!

随着短视频行业的星期,围绕着直播和视频拍摄的电子数码类产品也迎来了热销不减的高增长,其中除了数码相机外,最为重要的麦克风也得到了日益增长的高需求,尤其是无线领夹麦克风,近几年可谓是异常火爆。别看小小的一对无线麦克风,它对于视频拍摄的音质起到了极为关键的作用。 不过目前市面上的麦克风品牌种类多到让人眼花缭乱,盲目挑选的话容易踩雷,那么无线领夹麦克风什么牌子好用?今天就给大家推荐几款音质好的

自定义类型:结构体(续)

目录 一. 结构体的内存对齐 1.1 为什么存在内存对齐? 1.2 修改默认对齐数 二. 结构体传参 三. 结构体实现位段 一. 结构体的内存对齐 在前面的文章里我们已经讲过一部分的内存对齐的知识,并举出了两个例子,我们再举出两个例子继续说明: struct S3{double a;int b;char c;};int mian(){printf("%zd\n",s

OpenCV结构分析与形状描述符(11)椭圆拟合函数fitEllipse()的使用

操作系统:ubuntu22.04 OpenCV版本:OpenCV4.9 IDE:Visual Studio Code 编程语言:C++11 算法描述 围绕一组2D点拟合一个椭圆。 该函数计算出一个椭圆,该椭圆在最小二乘意义上最好地拟合一组2D点。它返回一个内切椭圆的旋转矩形。使用了由[90]描述的第一个算法。开发者应该注意,由于数据点靠近包含的 Mat 元素的边界,返回的椭圆/旋转矩形数据

C语言程序设计(选择结构程序设计)

一、关系运算符和关系表达式 1.1关系运算符及其优先次序 ①<(小于) ②<=(小于或等于) ③>(大于) ④>=(大于或等于 ) ⑤==(等于) ⑥!=(不等于) 说明: 前4个优先级相同,后2个优先级相同,关系运算符的优先级低于算术运算符,关系运算符的优先级高于赋值运算符 1.2关系表达式 用关系运算符将两个表达式(可以是算术表达式或关系表达式,逻辑表达式,赋值表达式,字符

开放式耳机好用?平价开放式耳机推荐?四款开放式的蓝牙耳机推荐

开放式耳机好用吗?有平价些的开放式耳机推荐吗?那这两个问题的回答当然是肯定的。 首先开放式耳机好不好用取决于对耳机的需求,因为开放式耳机其实是比较适用于需要注意周围环境、需要‌长时间佩戴舒适以及需要频繁与人交流的场景中,在这些场景下使用开放式耳机的话就会比较有优势。就例如跑步骑行健身等运动的时候,能够兼得佩戴舒适度的同时,增加一定的安全性;还有在办公学习的时候,会很适合长时间佩戴,能够方便和

Science|癌症中三级淋巴结构的免疫调节作用与治疗潜力|顶刊精析·24-09-08

小罗碎碎念 Science文献精析 今天精析的这一篇综述,于2022-01-07发表于Science,主要讨论了癌症中的三级淋巴结构(Tertiary Lymphoid Structures, TLS)及其在肿瘤免疫反应中的作用。 作者类型作者姓名单位名称(中文)通讯作者介绍第一作者Ton N. Schumacher荷兰癌症研究所通讯作者之一通讯作者Daniela S. Thomm

oracle11.2g递归查询(树形结构查询)

转自: 一 二 简单语法介绍 一、树型表结构:节点ID 上级ID 节点名称二、公式: select 节点ID,节点名称,levelfrom 表connect by prior 节点ID=上级节点IDstart with 上级节点ID=节点值 oracle官网解说 开发人员:SQL 递归: 在 Oracle Database 11g 第 2 版中查询层次结构数据的快速

Tomcat下载压缩包解压后应有如下文件结构

1、bin:存放启动和关闭Tomcat的命令的路径。 2、conf:存放Tomcat的配置,所有的Tomcat的配置都在该路径下设置。 3、lib:存放Tomcat服务器的核心类库(JAR文件),如果需要扩展Tomcat功能,也可将第三方类库复制到该路径下。 4、logs:这是一个空路径,该路径用于保存Tomcat每次运行后产生的日志。 5、temp:保存Web应用运行过程中生成的临时文件

C和指针:结构体(struct)和联合(union)

结构体和联合 结构体 结构体包含一些数据成员,每个成员可能具有不同的类型。 数组的元素长度相同,可以通过下标访问(转换为指针)。但是结构体的成员可能长度不同,所以不能用下标来访问它们。成员有自己的名字,可以通过名字访问成员。 结构声明 在声明结构时,必须列出它包含的所有成员。 struct tag {member-list} variable-list ; 定义一个结构体变量x(包含