(差分)胡桃爱原石

2024-03-13 16:20
文章标签 差分 原石 胡桃

本文主要是介绍(差分)胡桃爱原石,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

琴团长带领着一群胡桃准备出征,进攻丘丘人,出征前,琴团长根据不同胡桃的战力,发放原石作为军饷,琴团长分批次发放,每批次会给连续的几个胡桃发放相同的原石,琴团长最后想知道给每个胡桃发放了多少原石?

输入格式:

第一行两个整数N(<=100000)、M,表示胡桃的数量和琴团长发放原石的批次数。
接下来M行,每行三个整数,X,Y,K。在第X个胡桃到第Y个胡桃之间发放K个原石。

输出格式:

一行N个数,第i个数表示第i个胡桃拿到的原石数,结果不超过长整型。

输入样例:

5 3
1 2 1
2 3 2
2 5 3

输出样例:

在这里给出相应的输出。例如:

1 6 5 3 3 

代码: 

#include<bits/stdc++.h>
using namespace std;
int a[100005],b[100005];
int main(){int n,m;cin>>n>>m;while(m--){int x,y,z;cin>>x>>y>>z;b[x] += z;b[y+1] -= z;}for(int i = 1;i<=n;i++){a[i] = a[i-1]+b[i];}for(int i = 1;i<=n;i++){cout<<a[i]<<" ";}
}

这篇关于(差分)胡桃爱原石的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/805462

相关文章

poj 3159 (spfa差分约束最短路) poj 1201

poj 3159: 题意: 每次给出b比a多不多于c个糖果,求n最多比1多多少个糖果。 解析: 差分约束。 这个博客讲差分约束讲的比较好: http://www.cnblogs.com/void/archive/2011/08/26/2153928.html 套个spfa。 代码: #include <iostream>#include <cstdio>#i

poj 3169 spfa 差分约束

题意: 给n只牛,这些牛有些关系。 ml个关系:fr 与 to 牛间的距离要小于等于 cost。 md个关系:fr 与 to 牛间的距离要大于等于 cost。 隐含关系: d[ i ] <= d[ i + 1 ] 解析: 用以上关系建图,求1-n间最短路即可。 新学了一种建图的方法。。。。。。 代码: #include <iostream>#include

POJ 1364差分约束

给出n个变量,m个约束公式 Sa + Sa+1 + .... + Sa+b < ki or > ki ,叫你判断是否存在着解满足这m组约束公式。 Sa + Sa+1   +   .+ Sa+b =  Sum[a+b] - Sum[a-1]  . 注意加入源点n+1 。 public class Main {public static void main(Strin

Python中差分进化differential_evolution的调用及参数说明

在场景应用中,要求我们的函数计算结果尽可能的逼近实际测量结果,可转化计算结果与测量结果的残差,通过最小化残差,便可求出最优的结果。但使用最小二乘等方法来计算时,常常会使迭代的结果显然局部最优点而导致结算错误。 差分进化原理 差分进化(Differential Evolution,DE)是一种基于群体差异的进化算法,其计算思想主要包括以下几个方面: 一、初始化种群 首先,随机生成一个初始种群

RS485差分信号不对称

在RS485总线通信中,差分信号不对称的问题时常出现,尤其是在总线未接从机设备的情况下。这一问题不仅影响通信质量,还可能导致信号传输错误。通过对实际波形、芯片手册及电路的深入分析,可以找出引发差分信号不对称的根本原因,并采取相应的解决措施。 问题描述 在RS485通信测试中,当总线上没有从机设备连接时,观察到RS485差分信号(A、B)关于地(GND)不对称。理想情况下,RS485的差分信

【POJ】3169 Layout 【HDU】3592 World Exhibition 差分约束

传送门:  【POJ】3169 Layout、【HDU】3592 World Exhibition 题目分析:我会说我只是凭直觉写的吗。。。。。。。 如果有B-A>=C形式的,则建边(B,A,-C)。 如果有B-A<=C形式的,则建边(A,B,C)。 对所有的点X,建边(X,X-1,0)。 最后跑一遍最短路。如果存在负环输出-1,如果点N不可达输出-2,否则输出点N的值(最短路径长

Xilinx FPGA 原语解析(二):IBUFDS差分输入缓冲器(示例源码及仿真)

目录 前言: 一、原语使用说明 二、原语实例化代码模版 三、使用示例 1.设计文件代码 2.仿真文件代码 3.仿真结果 前言: 本文主要参考资料xilinx手册,《Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs》UG768 (v14.7) Octob

差分、前缀和

P8218 【深进1.例1】求区间和  (前缀和) #include <bits/stdc++.h>using namespace std;int n, m, a[100010], sum[100010], ans, l, r;int main(){scanf("%d", &n);for(int i=1; i<=n; ++i){scanf("%d", &a[i]);sum[i]=sum[

差分约束题目

P5960 【模板】差分约束算法 #include <bits/stdc++.h>using namespace std;int n, m, v, u, w, dis[5001];bool flag;struct node{int from, to, weight;}edge[5001];int main(){cin >> n >> m;memset(dis, 0x3f, size

差分传输与单端传输

差分与单端传输 本页讨论模拟信号传输中的两个概念:“单端”和“差分”。模拟信号用于将模拟仪器的输出传送到数字转换器。虽然数字信号对干扰的容忍度相对较高,但模拟信号却可能受到环境中电磁波的干扰和改变。本文档将解释这一问题,并描述一个解决方案。之后,它还将简要介绍双绞线电缆,然后讨论Güralp差分设备与非Güralp单端设备之间的接口问题。 概念 电磁感应 詹姆斯·克拉克·麦克斯韦的方程展示