双倍数据速率I/O (ALTDDIO_IN、ALTDDIO_OUT)使用方法

2024-02-18 14:30

本文主要是介绍双倍数据速率I/O (ALTDDIO_IN、ALTDDIO_OUT)使用方法,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

文章目录

  • ALTDDIO特性
  • 应用场景
  • 端口定义
    • 1、ALTDDIO_IN
    • 2、ALTDDIO_OUT
  • DDR I/O时序
  • ip仿真测试

学习双倍数据速率 I/O,在I/O单元(IOE)中实现DDR寄存器。其中
ALTDDIO_IN IP内核实现DDR输入的接口(输入端口)。
ALTDDIO_OUT IP内核实现DDR输出的接口(输出端口)。
ALTDDIO_BIDIR IP内核实现双向DDR输入和输出的接口(双向端口)。

ALTDDIO特性

ALTDDIO_IN IP内核在参考时钟的上升和下降沿接收数据
ALTDDIO_OUT IP内核在参考时钟的上升和下降沿发送数据
ALTDDIO_BIDIR IP内核在参考时钟的上升和下降沿发送和接收数据
总之,数据被锁存在时钟的上升和下降沿

应用场景

DDR寄存器可连接DDR SDRAM、DDR2 SDRAM等存储器器件,也就是用作它们的存储接口,使得它们可以两倍速率读写数据。
在LVDS应用中,经常使用DDR数据来实现高速标准(将DDR I/O寄存器用作SERDES旁路机制)。
不管是DDR还是LVDS都是后续需要掌握的知识。

端口定义

1、ALTDDIO_IN

在这里插入图片描述

datain:管脚到DDR电路的输入数据,可指定数据位宽
.
inclocken:时钟使能信号,高电平时输出以时钟上升沿采样,否则下降沿采样
.
inclock:时钟信号来采样DDR输入数据,inclocken使能有效时,数据的第一个比特在输入时钟的上升沿被采集。否则数据的第一个比特在输入时钟的下降沿被采集。
.
outclock信号寄存数据输出 时钟使能信号
.
aclr:异步清零输入;sclr:同步清零输入;aset:异步置数;sset:同步置数。(清零:计数满置0;置数:计数满输出设定值)
.
dataout_h:采集 在inclock信号上升沿的datain;否之,采集 在clock信号下降沿的datain

2、ALTDDIO_OUT

在这里插入图片描述

dataout_h:outclock上升沿的输入数据,dataout_l:outclock下降沿的输入数据
.
outclock:输出的时钟信号;outclocken:输出时钟使能信号,防止数据被传递。
.
dataout:DDR电路到管脚的输出数据端口。 该选项仅适用于Cyclone III和Cyclone II器件
.
oe:连接到三态输出缓冲区的使能信号,从而对数据被加载到 dataout端口进行控制。(高电平有效,若想低电平有效,取反即可)
.
oe_out:采用三态门的方式进行输出。双向输出端口。
.

DDR I/O时序

ALTDDIO_IN输入时序波形:
可看到neg_reg_out是对下降沿采集的数据进行寄存。
dataoutl:在时钟上升沿采样下降沿寄存的数据。
dataouth:在时钟上升沿采样data的数据。
在这里插入图片描述
ALTDDIO_OUT输出时序波形:
Quartus II软件将oe信号作为高电平有效,因此下图给出的也是高电平有效的情况。
datain_h和datain_l是两路上升沿采样的数据,通过DDIO端口,我们输出一路数据。
dataout可看到,它是在outclock的上升沿和下降沿都进行数据的采样,从而获得了双倍速率数据。
在这里插入图片描述

ip仿真测试

ALTDDIO_IN顶层代码:

module ddr_io(
//ddio_in输入输出input 		sys_rst_n	,	//复位input	      clock,input	[7:0]  datain,input	  inclocken, //高有效output	[7:0]  dataout_h,output	[7:0]  dataout_l);ddio_in u0(.aclr(~sys_rst_n),.inclock(clock),.datain(datain),.inclocken(inclocken),.dataout_h(dataout_h),.dataout_l(dataout_l));endmodule

tb测试代码:


`timescale 1ns/1ns			    //时间单位/精度//------------<模块及端口声明>----------------------------------------
module ddr_io_tb();reg				clock		;
reg				sys_rst_n;
reg		[7:0]	datain	;
reg           inclocken;wire	[7:0]	dataout_h	;
wire	[7:0]   dataout_l	;//------------<例化被测试模块>----------------------------------------ddr_io	inst_ddr_io(.sys_rst_n 	( sys_rst_n)	,.clock 	( clock 	)	,.datain 	( datain 	)	,.inclocken(inclocken),.dataout_h 	( dataout_h )	,.dataout_l 	( dataout_l )
);initial beginclock = 1'b0;					//条件为0sys_rst_n <= 1'b0;inclocken <= 1'b0;datain <= 8'd0;#5								//35个时钟周期sys_rst_n <= 1'b1;				//拉高复位inclocken <= 1'b1;	#10datain = $random % 256;	 //产生8位的随机数#10datain = $random % 256;	#10datain = $random % 256;	#10datain = $random % 256;	#10datain = $random % 256;	#10datain = $random % 256;	#10datain = $random % 256;	#10datain = $random % 256;	#10datain = $random % 256;	#10datain = $random % 256;	#20$stop;
endalways #10 clock = ~clock;		//产生系统时钟,周期20nsendmodule

在这里插入图片描述


ALTDDIO_OUT顶层代码:

module ddr_io(//ddio_out输入输出input 		sys_rst_n	,	//复位input	      clock,input	[7:0]  datain_h,input	[7:0]  datain_l,input	  oe,input	  outclocken,output	[7:0]  oe_out,output	[7:0]  dataout);ddio_out u0(.aclr(~sys_rst_n),.outclock(clock),.datain_h(datain_h),.datain_l(datain_l),.oe(oe),.outclocken(outclocken),.oe_out(oe_out),.dataout(dataout));endmodule

`timescale 1ns/1ns			    //时间单位/精度//------------<模块及端口声明>----------------------------------------
module ddr_io_tb();reg				clock		;
reg				sys_rst_n	;
reg				oe			;
reg		[7:0]	datain_h	;	
reg		[7:0]	datain_l	;wire	[7:0]   oe_out;
wire	[7:0]   dataout		;	
//------------<例化被测试模块>----------------------------------------ddr_io	ddio_inst
(.clock	   (clock	),.sys_rst_n	(sys_rst_n	),.oe			(oe			),.datain_h	(datain_h	),	.datain_l	(datain_l	),.oe_out(oe_out),.dataout	(dataout	)
);//------------<设置初始测试条件>----------------------------------------
initial beginclock = 1'b0;					    //初始条件为0sys_rst_n <= 1'b0;				oe <= 1'b0;	datain_h <= 8'd0;datain_l <= 8'd0;#10								  sys_rst_n <= 1'b1;#10oe <= 1'b1;	#100oe <= 1'b0;		#20$stop;	
endalways #10 clock = ~clock;		    //系统时钟,周期20nsalways #20 datain_h = $random  % 256;   //每20ns生成一个0~255的随机数 
always #20 datain_l = $random  % 256;   //每20ns生成一个0~255的随机数 endmodule

在这里插入图片描述

根据上面的原理,手写代码实现时钟上下沿采样数据,达到一个clk双倍数据速率传输

module ddr_io(//ddio_out输入输出input 		sys_rst_n,	//复位input	      clock,input	[7:0]  datain_h,input	[7:0]  datain_l,input	  oe,output   	[7:0]  dataout
);reg flag1;
reg flag2;
wire flag;//上升沿二分频
always @(posedge clock or negedge sys_rst_n) if (!sys_rst_n)flag1 <= 0;elseflag1 <= ~flag1;//下降沿二分频
always @(negedge clock or negedge sys_rst_n) if (!sys_rst_n)flag2 <= 0;else flag2 <= ~flag2;assign flag = flag1^flag2;assign dataout = oe ? (flag ? datain_h : datain_l) : 0;endmodule

`timescale 1ns/1ns			    //时间单位/精度//------------<模块及端口声明>----------------------------------------
module ddr_io_tb();reg				clock		;
reg				sys_rst_n	;
reg				oe			;
reg		[7:0]	datain_h	;	
reg		[7:0]	datain_l	;wire	[7:0]   dataout		;	
//------------<例化被测试模块>----------------------------------------ddr_io	ddio_inst
(.clock	   (clock	),.sys_rst_n	(sys_rst_n	),.oe			(oe			),.datain_h	(datain_h	),	.datain_l	(datain_l	),.dataout	(dataout	)
);//------------<设置初始测试条件>----------------------------------------
initial beginclock = 1'b0;					    //初始条件为0sys_rst_n <= 1'b0;				oe <= 1'b0;	datain_h <= 8'd0;datain_l <= 8'd0;#10								  sys_rst_n <= 1'b1;#10oe <= 1'b1;	#100oe <= 1'b0;		#20$stop;	
endalways #10 clock = ~clock;		    //系统时钟,周期20nsalways #20 datain_h = $random  % 256;   //每20ns生成一个0~255的随机数 
always #20 datain_l = $random  % 256;   //每20ns生成一个0~255的随机数 endmodule

在这里插入图片描述

这篇关于双倍数据速率I/O (ALTDDIO_IN、ALTDDIO_OUT)使用方法的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/721444

相关文章

大模型研发全揭秘:客服工单数据标注的完整攻略

在人工智能(AI)领域,数据标注是模型训练过程中至关重要的一步。无论你是新手还是有经验的从业者,掌握数据标注的技术细节和常见问题的解决方案都能为你的AI项目增添不少价值。在电信运营商的客服系统中,工单数据是客户问题和解决方案的重要记录。通过对这些工单数据进行有效标注,不仅能够帮助提升客服自动化系统的智能化水平,还能优化客户服务流程,提高客户满意度。本文将详细介绍如何在电信运营商客服工单的背景下进行

基于MySQL Binlog的Elasticsearch数据同步实践

一、为什么要做 随着马蜂窝的逐渐发展,我们的业务数据越来越多,单纯使用 MySQL 已经不能满足我们的数据查询需求,例如对于商品、订单等数据的多维度检索。 使用 Elasticsearch 存储业务数据可以很好的解决我们业务中的搜索需求。而数据进行异构存储后,随之而来的就是数据同步的问题。 二、现有方法及问题 对于数据同步,我们目前的解决方案是建立数据中间表。把需要检索的业务数据,统一放到一张M

关于数据埋点,你需要了解这些基本知识

产品汪每天都在和数据打交道,你知道数据来自哪里吗? 移动app端内的用户行为数据大多来自埋点,了解一些埋点知识,能和数据分析师、技术侃大山,参与到前期的数据采集,更重要是让最终的埋点数据能为我所用,否则可怜巴巴等上几个月是常有的事。   埋点类型 根据埋点方式,可以区分为: 手动埋点半自动埋点全自动埋点 秉承“任何事物都有两面性”的道理:自动程度高的,能解决通用统计,便于统一化管理,但个性化定

中文分词jieba库的使用与实景应用(一)

知识星球:https://articles.zsxq.com/id_fxvgc803qmr2.html 目录 一.定义: 精确模式(默认模式): 全模式: 搜索引擎模式: paddle 模式(基于深度学习的分词模式): 二 自定义词典 三.文本解析   调整词出现的频率 四. 关键词提取 A. 基于TF-IDF算法的关键词提取 B. 基于TextRank算法的关键词提取

使用SecondaryNameNode恢复NameNode的数据

1)需求: NameNode进程挂了并且存储的数据也丢失了,如何恢复NameNode 此种方式恢复的数据可能存在小部分数据的丢失。 2)故障模拟 (1)kill -9 NameNode进程 [lytfly@hadoop102 current]$ kill -9 19886 (2)删除NameNode存储的数据(/opt/module/hadoop-3.1.4/data/tmp/dfs/na

异构存储(冷热数据分离)

异构存储主要解决不同的数据,存储在不同类型的硬盘中,达到最佳性能的问题。 异构存储Shell操作 (1)查看当前有哪些存储策略可以用 [lytfly@hadoop102 hadoop-3.1.4]$ hdfs storagepolicies -listPolicies (2)为指定路径(数据存储目录)设置指定的存储策略 hdfs storagepolicies -setStoragePo

Hadoop集群数据均衡之磁盘间数据均衡

生产环境,由于硬盘空间不足,往往需要增加一块硬盘。刚加载的硬盘没有数据时,可以执行磁盘数据均衡命令。(Hadoop3.x新特性) plan后面带的节点的名字必须是已经存在的,并且是需要均衡的节点。 如果节点不存在,会报如下错误: 如果节点只有一个硬盘的话,不会创建均衡计划: (1)生成均衡计划 hdfs diskbalancer -plan hadoop102 (2)执行均衡计划 hd

Hadoop数据压缩使用介绍

一、压缩原则 (1)运算密集型的Job,少用压缩 (2)IO密集型的Job,多用压缩 二、压缩算法比较 三、压缩位置选择 四、压缩参数配置 1)为了支持多种压缩/解压缩算法,Hadoop引入了编码/解码器 2)要在Hadoop中启用压缩,可以配置如下参数

Makefile简明使用教程

文章目录 规则makefile文件的基本语法:加在命令前的特殊符号:.PHONY伪目标: Makefilev1 直观写法v2 加上中间过程v3 伪目标v4 变量 make 选项-f-n-C Make 是一种流行的构建工具,常用于将源代码转换成可执行文件或者其他形式的输出文件(如库文件、文档等)。Make 可以自动化地执行编译、链接等一系列操作。 规则 makefile文件

使用opencv优化图片(画面变清晰)

文章目录 需求影响照片清晰度的因素 实现降噪测试代码 锐化空间锐化Unsharp Masking频率域锐化对比测试 对比度增强常用算法对比测试 需求 对图像进行优化,使其看起来更清晰,同时保持尺寸不变,通常涉及到图像处理技术如锐化、降噪、对比度增强等 影响照片清晰度的因素 影响照片清晰度的因素有很多,主要可以从以下几个方面来分析 1. 拍摄设备 相机传感器:相机传