对象拷贝——systemverilog

2024-02-13 09:48
文章标签 对象 拷贝 systemverilog

本文主要是介绍对象拷贝——systemverilog,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

使用场景

当需要赋值一个对象,以防止对象的方法修改原始对象的值,或者在一个发生器中保留约束时,可以对对象做拷贝

1.使用new操作符赋值

new操作符会创建了一个新的对象,并且复制了现有对象的所有变量,但是不会调用已经定义的任何new()函数

class Transaction;bit[31:0] addr,crc,data[8];Statistic stas;//指向Statistic对象的句柄function new;stas = new();endfunction
endclass
Transaction src,dst;
initial beginsrc = new();//创建第一个对象src.stas.startT = 42;dst = new src;//复制,注意与new()函数区分  dst.stas.startT = 90;$display("stas.startT = %0d",src.stas.startT);//打印值为90
end

注意

  • 被复制的类中包含一个指向另一个类的句柄时,那么只有最高一级会被复制,下层对象都不会被复制;

    但是变量和句柄会被复制,会使得src和dst中的stas句柄都指向同一个对象。在上面代码中,如果src.stas修改后,dst.stas也会跟着变化;

  • 使用new操作符复制一个对象时,它不会调用你自己的new()函数;

2.自己编写复制函数

  • 将成员拷贝函数copy_data() 和新对象生成函数copy()分成两个方法,这样使得子类继承和方法复用;
  • 为了保证父类和子类成员均可以完成拷贝,将拷贝方法声明为虚方法
  • 只拷贝该类的域成员的原则,父类的成员拷贝应该由父类的拷贝方法完成;
  • 在实现copy_data()过程中应该注意句柄的类型转换,保证转换后的句柄可以访问类成员变量;因为copy_data会返回一个父类句柄。
class basic_test;int def;int fin;//只拷贝变量virtual function void copy_data(basic_test t);t.def = def;e.fin = fin;endfunction//新对象生成函数virtual function basic_test copy();basic_test t = new();copy_data(t);return t;endfunction   
endclassclass son_test extends basic_test;int ecl;function void copy_data(basic_test t);son_test h;super.copy_data(t);//因为这里父类的句柄t指向的是一个子类对象//所以可以完成父类句柄——>子类句柄的强制转换$cast(h,t);h.ecl= this.ecl;//复制当前子类的成员变量endfunctionfunction basic_test copy();son_test t = new();//拷贝子类对象//t是子类句柄,copy_data()需要传递一个父类句柄//所以在这一步会有一个隐式的子类句柄转换为父类句柄的过程copy_data(t);return t;//注意:返回的是一个父类的句柄,但这个父类句柄指向的是一个子类的对象endfunction   
endclassmodule tb;son_test wr,h;initial beginwr = new();//注意copy()会返回一个父类句柄//所以想得到一个子类句柄需要做一个类型转换$cast(h,wr.copy());//这里wr和h指向的是两个不同的子类对象//因为调用copy函数时,生成了一个子类对象end
endmodule

解释上面代码:

  • copy()是产生一个新的句柄和对象,并把这个句柄返回

这篇关于对象拷贝——systemverilog的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/705184

相关文章

Java第二阶段---09类和对象---第三节 构造方法

第三节 构造方法 1.概念 构造方法是一种特殊的方法,主要用于创建对象以及完成对象的属性初始化操作。构造方法不能被对象调用。 2.语法 //[]中内容可有可无 访问修饰符 类名([参数列表]){ } 3.示例 public class Car {     //车特征(属性)     public String name;//车名   可以直接拿来用 说明它有初始值     pu

HTML5自定义属性对象Dataset

原文转自HTML5自定义属性对象Dataset简介 一、html5 自定义属性介绍 之前翻译的“你必须知道的28个HTML5特征、窍门和技术”一文中对于HTML5中自定义合法属性data-已经做过些介绍,就是在HTML5中我们可以使用data-前缀设置我们需要的自定义属性,来进行一些数据的存放,例如我们要在一个文字按钮上存放相对应的id: <a href="javascript:" d

PHP7扩展开发之对象方式使用lib库

前言 上一篇文章,我们使用的是函数方式调用lib库。这篇文章我们将使用对象的方式调用lib库。调用代码如下: <?php $hello = new hello(); $result = $hello->get(); var_dump($result); ?> 我们将在扩展中实现hello类。hello类中将依赖lib库。 代码 基础代码 这个扩展,我们将在say扩展上增加相关代码。sa

hibernate修改数据库已有的对象【简化操作】

陈科肇 直接上代码: /*** 更新新的数据并并未修改旧的数据* @param oldEntity 数据库存在的实体* @param newEntity 更改后的实体* @throws IllegalAccessException * @throws IllegalArgumentException */public void updateNew(T oldEntity,T newEntity

类和对象的定义和调用演示(C++)

我习惯把类的定义放在头文件中 Student.h #define _CRT_SECURE_NO_WARNINGS#include <string>using namespace std;class student{public:char m_name[25];int m_age;int m_score;char* get_name(){return m_name;}int set_name

react笔记 8-19 事件对象、获取dom元素、双向绑定

1、事件对象event 通过事件的event对象获取它的dom元素 run=(event)=>{event.target.style="background:yellowgreen" //event的父级为他本身event.target.getAttribute("aid") //这样便获取到了它的自定义属性aid}render() {return (<div><h2>{

Linux 使用rsync拷贝文件

显示进度条 rsync 可以显示进度条,您可以使用 --progress 或 -P 选项来显示每个文件的传输进度和已完成文件的统计信息。 显示进度条的常用选项: --progress 选项 使用 --progress 显示每个文件的传输进度信息:rsync -av --progress /src/ /dest/ -a:归档模式,表示递归拷贝并保持文件权限、时间戳等。-v:详细模式,显示更

python基础语法十一-赋值、浅拷贝、深拷贝

书接上回: python基础语法一-基本数据类型 python基础语法二-多维数据类型 python基础语法三-类 python基础语法四-数据可视化 python基础语法五-函数 python基础语法六-正则匹配 python基础语法七-openpyxl操作Excel python基础语法八-异常 python基础语法九-多进程和多线程 python基础语法十-文件和目录操作

插件maven-search:Maven导入依赖时,使用插件maven-search拷贝需要的依赖的GAV

然后粘贴: <dependency>    <groupId>mysql</groupId>    <artifactId>mysql-connector-java</artifactId>    <version>8.0.26</version> </dependency>

Python---文件IO流及对象序列化

文章目录 前言一、pandas是什么?二、使用步骤 1.引入库2.读入数据总结 前言 前文模块中提到加密模块,本文将终点介绍加密模块和文件流。 一、文件流和IO流概述         在Python中,IO流是用于输入和输出数据的通道。它可以用于读取输入数据或将数据写入输出目标。IO流可以是标准输入/输出流(stdin和stdout),也可以是文件流,网络流等。