门驱动器设计-从基础到细节-电子研习社

2024-02-11 21:48

本文主要是介绍门驱动器设计-从基础到细节-电子研习社,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

门驱动器设计-从基础到细节-电子研习社-TI工程师

今天观看了电子研习社的直播,内容是门驱动器的设计,由TI工程师主讲,内容为英文,听起来有点吃力,多次暂停大致听完了整个讲座。

主要讲解内容:低侧驱动、高低侧驱动、隔离驱动有什么区别?怎样最优化驱动的表现-从基本到细节。门驱动器的寄生参数、硬、软开关。高的dv/dt、di/dt。隔离驱动。

涉及到的器件:
低侧驱动:UCC2751X UCC2752C UCC27X24
高低侧驱动:UCC2771X UCC272XX
隔离驱动:UCC2152X UCC2122X UCC53XX

涉及到的参考设计:
TIDA01160(单路隔离驱动) TIDA01159(双路隔离驱动)

紧密相关的终端设备:
电动汽车(EV)、混合动力汽车(HEV)、UPS、通信设备、服务器、太阳能、电机

如下图是一些常见器件的驱动设计要求。
在这里插入图片描述

常见的低侧驱动可以使用分立器件也可以使用集成电路。半桥驱动一般使用集成电路,使用自举电路。而设计到隔离电源,一般设计到隔离驱动的设计。

隔离驱动一般使用变压器,或者使用数字隔离器件+二级侧驱动芯片的方式,各有优缺点,具体见下图。
在这里插入图片描述

区别于上述两种方案,TI提供了集成的隔离驱动芯片,将数字隔离和二级侧驱动集成在了一起,以达到更好的效果。

主流的隔离方案如下图所示,有光耦、变压器、电容(OOK调制)等方式。
在这里插入图片描述

TI提供了隔离驱动芯片,并且给出了两个参考设计(在上文有提到)

体二极管的反向恢复也会导致增加驱动损耗,所以软开关技术可以有效解决此问题,此时没有米勒效应。

Qg和COSS也是关键影响因素。

高DV/DT和DI/DT的影响会将噪声耦合到输入端,可以使用隔离驱动的方式加以抑制(不共地)。

这篇关于门驱动器设计-从基础到细节-电子研习社的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/700888

相关文章

不懂推荐算法也能设计推荐系统

本文以商业化应用推荐为例,告诉我们不懂推荐算法的产品,也能从产品侧出发, 设计出一款不错的推荐系统。 相信很多新手产品,看到算法二字,多是懵圈的。 什么排序算法、最短路径等都是相对传统的算法(注:传统是指科班出身的产品都会接触过)。但对于推荐算法,多数产品对着网上搜到的资源,都会无从下手。特别当某些推荐算法 和 “AI”扯上关系后,更是加大了理解的难度。 但,不了解推荐算法,就无法做推荐系

零基础学习Redis(10) -- zset类型命令使用

zset是有序集合,内部除了存储元素外,还会存储一个score,存储在zset中的元素会按照score的大小升序排列,不同元素的score可以重复,score相同的元素会按照元素的字典序排列。 1. zset常用命令 1.1 zadd  zadd key [NX | XX] [GT | LT]   [CH] [INCR] score member [score member ...]

怎么让1台电脑共享给7人同时流畅设计

在当今的创意设计与数字内容生产领域,图形工作站以其强大的计算能力、专业的图形处理能力和稳定的系统性能,成为了众多设计师、动画师、视频编辑师等创意工作者的必备工具。 设计团队面临资源有限,比如只有一台高性能电脑时,如何高效地让七人同时流畅地进行设计工作,便成为了一个亟待解决的问题。 一、硬件升级与配置 1.高性能处理器(CPU):选择多核、高线程的处理器,例如Intel的至强系列或AMD的Ry

【Linux 从基础到进阶】Ansible自动化运维工具使用

Ansible自动化运维工具使用 Ansible 是一款开源的自动化运维工具,采用无代理架构(agentless),基于 SSH 连接进行管理,具有简单易用、灵活强大、可扩展性高等特点。它广泛用于服务器管理、应用部署、配置管理等任务。本文将介绍 Ansible 的安装、基本使用方法及一些实际运维场景中的应用,旨在帮助运维人员快速上手并熟练运用 Ansible。 1. Ansible的核心概念

AI基础 L9 Local Search II 局部搜索

Local Beam search 对于当前的所有k个状态,生成它们的所有可能后继状态。 检查生成的后继状态中是否有任何状态是解决方案。 如果所有后继状态都不是解决方案,则从所有后继状态中选择k个最佳状态。 当达到预设的迭代次数或满足某个终止条件时,算法停止。 — Choose k successors randomly, biased towards good ones — Close

基于51单片机的自动转向修复系统的设计与实现

文章目录 前言资料获取设计介绍功能介绍设计清单具体实现截图参考文献设计获取 前言 💗博主介绍:✌全网粉丝10W+,CSDN特邀作者、博客专家、CSDN新星计划导师,一名热衷于单片机技术探索与分享的博主、专注于 精通51/STM32/MSP430/AVR等单片机设计 主要对象是咱们电子相关专业的大学生,希望您们都共创辉煌!✌💗 👇🏻 精彩专栏 推荐订阅👇🏻 单片机

如何编写Linux PCIe设备驱动器 之二

如何编写Linux PCIe设备驱动器 之二 功能(capability)集功能(capability)APIs通过pci_bus_read_config完成功能存取功能APIs参数pos常量值PCI功能结构 PCI功能IDMSI功能电源功率管理功能 功能(capability)集 功能(capability)APIs int pcie_capability_read_wo

SprinBoot+Vue网络商城海鲜市场的设计与实现

目录 1 项目介绍2 项目截图3 核心代码3.1 Controller3.2 Service3.3 Dao3.4 application.yml3.5 SpringbootApplication3.5 Vue 4 数据库表设计5 文档参考6 计算机毕设选题推荐7 源码获取 1 项目介绍 博主个人介绍:CSDN认证博客专家,CSDN平台Java领域优质创作者,全网30w+

音视频入门基础:WAV专题(10)——FFmpeg源码中计算WAV音频文件每个packet的pts、dts的实现

一、引言 从文章《音视频入门基础:WAV专题(6)——通过FFprobe显示WAV音频文件每个数据包的信息》中我们可以知道,通过FFprobe命令可以打印WAV音频文件每个packet(也称为数据包或多媒体包)的信息,这些信息包含该packet的pts、dts: 打印出来的“pts”实际是AVPacket结构体中的成员变量pts,是以AVStream->time_base为单位的显

C 语言基础之数组

文章目录 什么是数组数组变量的声明多维数组 什么是数组 数组,顾名思义,就是一组数。 假如班上有 30 个同学,让你编程统计每个人的分数,求最高分、最低分、平均分等。如果不知道数组,你只能这样写代码: int ZhangSan_score = 95;int LiSi_score = 90;......int LiuDong_score = 100;int Zhou