同步復位和異步復位二者各自的優缺點

2024-02-06 13:52
文章标签 同步 二者 異步 優缺點

本文主要是介绍同步復位和異步復位二者各自的優缺點,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

同步復位和異步復位二者各自的優缺點

一、同步復位:當時鐘上升沿檢測到復位信號,執行復位操作(有效的時鐘沿是前提)。

always @ ( posedge clk );

1.1 優點:

a、有利於仿真器的仿真;
b、可以使所設計的系統成爲 100% 的同步時序電路,有利於時序分析,而且可綜合出較高的 Fmax;
c、由於只在時鐘有效電平到來時纔有效,所以可以濾除高於時鐘頻率的復位毛刺。

1.2 缺點:

a、復位信號的有效時長必須大於時鐘週期,才能真正被系統識別並完成復位任務。同時還要考慮諸如 clk skew 、組合邏輯路徑延時 、復位延時等因素(所以復位信號有時需要脈衝展寬,用以保證時鐘有效期間有足夠的復位寬度);
b、由於大多數的邏輯器件的目標庫內的 DFF 都只有異步復位端口,所以,倘若採用同步復位的話,綜合器就會在寄存器的數據輸入端口插入組合邏輯,這樣就會一方面額外增加FPGA內部的邏輯資源,另一方面也增加了相應的組合邏輯門時延。

二、異步復位:它是指無論時鐘沿是否到來,只要復位信號有效,就對系統進行復位。

always @ ( posedge clk or negedge rst_n );

2.1 優點:

a、大多數目標器件庫的 DFF 都有異步復位端口,那麼該觸發器的復位端口就不需要額外的組合邏輯,這樣就可以節省資源;
b、設計相對簡單;
c、異步復位信號識別方便(電路在任何情況下都能復位而不管是否有時鐘出現)。

2.2 缺點:

a、最大的問題在於它屬於異步邏輯,問題出現在復位釋放時,而不是有效時,如果復位釋放接近時鐘有效沿,則觸發器的輸出可能進入亞穩態(此時 clk 檢測到的 rst_n 的狀態就會是一個亞穩態,即是0是1是不確定的),從而導致復位失敗。
b、可能因爲噪聲或者毛刺造成虛假復位信號(比如以前的遊戲機玩到一半突然復位)(注意:時鐘端口、清零和置位端口對毛刺信號十分敏感,任何一點毛刺都可能會使系統出錯,因此判斷邏輯電路中是否存在冒險以及如何避免冒險是設計人員必須要考慮的問題);
c、靜態定時分析比較困難。
d、對於 DFT (Design For Test可測性設計)設計,如果復位信號不是直接來自於 I/O 引腳,在 DFT 掃描和測試時,復位信號必須被禁止,因此需要額外的同步電路。

三、總結:推薦使用異步復位、同步釋放的方式,並且復位信號爲低電平有效。

這裏:

3.1 電路解釋:是指復位信號到來的有效與否與 clk 無關,而且復位信號的撤除也與 clk 無關,但是復位信號的撤除是在下一個 clk 來到後才起的作用。

3.2 電路目的:爲了防止復位信號撤除時,可能產生的亞穩態。

3.3 電路詳解:異步復位:復位信號 rst_sync_n 由高拉低時實現異步復位。同步釋放:這個是關鍵,即當復位信號 rst_async_n 撤除時(由低拉高),由於雙緩衝電路(雙寄存器)的作用,rst_sync_n 不會隨着 rst_async_n 的撤除而撤除。假設 rst_async_n 撤除時發生在 clk 上升沿,如果不加此電路則可能發生亞穩態事件,但是加上此電路以後,假設第一級 D 觸發器 clk 上升沿時 rst_async_n 正好撤除,(第一個DFF 此時是出於亞穩態的;假設此時識別到高電平;若是識別到低電平,則增加一個 Delay)則 DFF1 輸出高電平,此時第二級觸發器也會更新輸出,但是輸出值爲前一級觸發器 clk 來之前時的 Q1 輸出狀態,顯然 Q1 之前爲低電平,所以第二級觸發器輸出保持復位低電平,直到下一個 clk 來之後,才隨着變爲高電平,即同步釋放。

 

always @ (posedge clk or negedge rst_async_n) beginif (!rst_async_n) begin   rst_s1 <= 1'b0;  rst_s2 <= 1'b0;  end  else begin  rst_s1 <= 1'b1;  rst_s2 <= rst_s1;  end  
end

这篇关于同步復位和異步復位二者各自的優缺點的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/684523

相关文章

基于MySQL Binlog的Elasticsearch数据同步实践

一、为什么要做 随着马蜂窝的逐渐发展,我们的业务数据越来越多,单纯使用 MySQL 已经不能满足我们的数据查询需求,例如对于商品、订单等数据的多维度检索。 使用 Elasticsearch 存储业务数据可以很好的解决我们业务中的搜索需求。而数据进行异构存储后,随之而来的就是数据同步的问题。 二、现有方法及问题 对于数据同步,我们目前的解决方案是建立数据中间表。把需要检索的业务数据,统一放到一张M

服务器集群同步时间手记

1.时间服务器配置(必须root用户) (1)检查ntp是否安装 [root@node1 桌面]# rpm -qa|grep ntpntp-4.2.6p5-10.el6.centos.x86_64fontpackages-filesystem-1.41-1.1.el6.noarchntpdate-4.2.6p5-10.el6.centos.x86_64 (2)修改ntp配置文件 [r

MySQL主从同步延迟原理及解决方案

概述 MySQL的主从同步是一个很成熟的架构,优点为: ①在从服务器可以执行查询工作(即我们常说的读功能),降低主服务器压力; ②在从主服务器进行备份,避免备份期间影响主服务器服务; ③当主服务器出现问题时,可以切换到从服务器。 相信大家对于这些好处已经非常了解了,在项目的部署中也采用这种方案。但是MySQL的主从同步一直有从库延迟的问题,那么为什么会有这种问题。这种问题如何解决呢? MyS

使用条件变量实现线程同步:C++实战指南

使用条件变量实现线程同步:C++实战指南 在多线程编程中,线程同步是确保程序正确性和稳定性的关键。条件变量(condition variable)是一种强大的同步原语,用于在线程之间进行协调,避免数据竞争和死锁。本文将详细介绍如何在C++中使用条件变量实现线程同步,并提供完整的代码示例和详细的解释。 什么是条件变量? 条件变量是一种同步机制,允许线程在某个条件满足之前进入等待状态,并在条件满

mysql创建新表,同步数据

import os import argparse import glob import cv2 import numpy as np import onnxruntime import tqdm import pymysql import time import json from datetime import datetime os.environ[“CUDA_VISIBLE_DEVICE

三.海量数据实时分析-FlinkCDC实现Mysql数据同步到Doris

FlinkCDC 同步Mysql到Doris 参考:https://nightlies.apache.org/flink/flink-cdc-docs-release-3.0/zh/docs/get-started/quickstart/mysql-to-doris/ 1.安装Flink 下载 Flink 1.18.0,下载后把压缩包上传到服务器,使用tar -zxvf flink-xxx-

Gerrit与Gitlab同步配置replication其他配置

一、Gerrit与Gitlab同步配置 当配置好gerrit环境后,还需要与现有gitlab库进行同步配置,否则会影响现有开发与打包流程。 1.安装gerrit replication插件 unzip gerrit.warcp WEB-INF/plugins/replication.jar ~/temp/ssh -p 29418 admin@172.19.16.64 gerrit plu

iOS剪贴板同步到Windows剪贴板(无需安装软件的方案)

摘要 剪贴板同步能够提高很多的效率,免去复制、发送、复制、粘贴的步骤,只需要在手机上复制,就可以直接在电脑上 ctrl+v 粘贴,这方面在 Apple 设备中是做的非常好的,Apple 设备之间的剪贴板同步功能(Universal Clipboard)确实非常方便,它可以在 iPhone、iPad 和 Mac 之间无缝传输剪贴板内容,从而大大提高工作效率。 但是,iPhone 如何和 Wind

RISC-V (十)任务同步和锁

并发与同步 并发:指多个控制流同时执行。         多处理器多任务。一般在多处理器架构下内存是共享的。           单处理器多任务,通过调度器,一会调度这个任务,一会调度下个任务。  共享一个处                                理器一个内存。                 单处理器任务+中断: 同步: 是为了保证在并发执行的环境中各个控制流可

【Linux修行路】线程同步——条件变量

目录 ⛳️推荐 前言 一、条件变量 1.1 pthread_cond_init——初始化一个条件变量 pthread_cond_destroy——销毁一个条件变量 1.3 定义一个全局的条件变量 1.4 pthread_cond_wait——等待条件满足 1.5 pthread_cond_signal——唤醒一个等待线程 1.6 pthread_cond_broadcast—