硬件基础:数字电路概述与基础门电路

2024-01-24 04:52

本文主要是介绍硬件基础:数字电路概述与基础门电路,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

什么是数字逻辑电路

数字电路是一种利用离散信号进行信息处理的电子电路系统。

它的核心特点是使用数字信号来执行算术运算和逻辑运算。数字电路的工作信号是离散的,通常只取两个值:高电平和低电平,分别代表数值“1”和“0”。

这种电路的基础是二值数字逻辑,并且在其设计中使用逻辑门作为基本的构建模块。数字电路可以进一步分为组合逻辑电路和时序逻辑电路两大类。

数字电路的设计和使用依赖于半导体工艺,通常使用硅材料制成数字集成器件。这些器件包括逻辑门和其他组件,如触发器和存储器。数字系统的控制部件和运算部件协同工作,按照预设的时间脉冲来进行操作。数字电路因其较强的抗干扰能力而广泛应用于工业、农业、通信、医疗等多个领域,包括数控机床、温度控制系统、气体检测设备、家用电器以及网络通信和数字化电视等。

数字电路的优点举例

随着技术的进步,数字集成电路的集成度不断提高,从小规模到大规模再到超大规模和甚大规模,使得数字电路的功能更加强大和灵活。此外,数字电路还可以通过模拟数字转换器和数字模拟转换器与其他类型的电路相连,实现数字与模拟信号之间的相互转换。

数电和模电有何区别?

数制和编码

属于很基础的内容,在微机原理和计算机组成原理等课程中学过。

二进制、八进制、十进制、十六进制

ASCII码,BCD码、GB2312编码、UTF-8编码等

……

略。

逻辑门电路

最基础的门电路就是与门、或门、非门

基础的复合逻辑门电路一般就是与非门、或非门、异或门、同或门

列表如下所示:

其中,非门又叫做反相器。

异或的运算符号一般还可以表示成Y=A⊕B

异或和同或互为反相。

CMOS门电路和TTL门电路

我刚开始接触CMOS门电路和TTL门电路时,还比较疑惑,门电路不是就是与或非这些吗?咋还冒出个CMOS门电路和TTL门电路?

其实这个CMOS门电路、TTL门电路和基础的逻辑门电路并不是一个东西。

那CMOS和TTL是啥呢?

我们知道基础的逻辑门电路有与、或、非、与非、或非、同或、异或这些,但是这只是逻辑层面上的叫法,有没有想过,这些门又是怎么实现的呢?

逻辑门的物理实现,就是通过CMOS或者TTL的。或者说,CMOS或者TTL就是逻辑门内部的结构和原理。

那什么是CMOS和TTL呢?

看到一篇文章讲得很好:

第三章 逻辑门电路 - 知乎

我在这里摘录部分所需内容仅作为记录之用。

这一小节讨论的门电路是数字电路的基本逻辑单元。它们包括 CMOS门电路、双极结型三极管(Biploar Junction Transistor,BJT)构成的TTL门电路,以及其他门电路。

注意:门电路中的MOS管或 BJT管工作在开关状态。

实现基本逻辑运算和常用逻辑运算的单元电路称为门电路。逻辑门电路是组成各种数字电路的基本单元电路。将构成门电路的元器件制作在一块半导体芯片上,再封装起来,便构成了集成门电路。

按照制造门电路晶体管的不同,分为:

CMOS逻辑门电路是目前使用最广泛、占主导地位的集成电路。

早期的CMOS 与TTL 逻辑门相比,CMOS速度慢、功耗低,而 TTL 主要是速度快,但功耗大。后来随着制造工艺的不断改进,CMOS电路的集成度、工作速度、功耗和抗干扰能力远优于 TTL。因此,几乎所有的 CPU、存储器、PLD器件和专用集成电路(ASIC)都采用CMOS 工艺制造,且费用较低。因此,出现种类繁多的CMOS逻辑系列。

下图所示为CMOS发展过程中部分典型逻辑门系列,以及相对前一个系列在速度和功耗等方面的改进。

后面带有“T”的型号,表示可与TTL兼容。TTL是应用最早,技术比较成熟的集成电路,曾被广泛使用。大规模集成电路的发展,要求每个逻辑单元电路的结构简单,并且功耗低。TTL电路不满足这个条件,因此逐渐被 CMOS 电路所取代,退出其主导地位。由于TTL 技术在整个数字集成电路设计领域中的历史地位和影响,目前主要应用于教育或是简单的中小规模数字电路。

开关电路

在二值数字逻辑中,逻辑变量的取值不是0就是1。在数字电路中与其对应的是电子器件的"闭合"和"断开"两种状态。下图所示为开关电路示意图。

当开关S断开时,输出电压=Vcc ,输出逻辑1,如图3.1.3(a)所示。

反之,当开关S接通时,输出电压=0 ,输出逻辑0,如图3.1.3(b)所示。

早期的开关由继电器构成,后来使用 BJT或 MOS管作为开关。BJT或 MOS 管相当于一个受控开关,当其工作在截止状态时,相当于开关断开,输出高电平;当其工作在饱和状态时,相当于开关闭合,输出低电平。

MOS管开关电路

用N沟道增强型 MOS管替代图3.1.3所示的开关S构成的电路如图3.2.9所示。

MOS管的作用对应于有触点开关S的"断开"和"闭合",但在速度和可靠性方面比机械开关优越得多。

CMOS反相器

由 N沟道和P沟道增强型 MOS管组成的电路称为互补 MOS或 CMOS电路

由此,终于知道CMOS是啥意思了,CMOS是Complementary Metal Oxide Semiconductor(互补金属氧化物半导体)的缩写。

CMOS 反相器是构成CMOS 逻辑电路的基本单元电路之一,另一个基本单元电路——传输门将在后续小节介绍。下面讨论CMOS反相器的工作原理。

其实定性来看很简单,就是输入高电平,则下面管子导通,输出就为低电平;输入低电平,则上面管子导通,输出就为高电平。

其实,这就实现了一个非门,也叫反相器。

重要特点如下:

反相器的电压传输特性和电流传输特性

由前面我们知道,反相器输入为0时输出1,输入为1时输出0。

具体是怎么一个过程呢?

这张图就描述了反相器的电压传输特性,从图中可以看到,vi为低时vo为高,vi为高时vo为低,但是输入从低到高有个过程,同样的,输出从高到低也有个过程,并不是啪嗒一下就完成了切换。

正常工作时,只有一个管子是导通的。

电流传输特性是指漏极电流id随输入电压vi变化的曲线,如下图所示。

使用时应避免使两管长时间工作在此区域,以防止功耗过大而损坏。

输入逻辑电平和输出逻辑电平

由上面的电压传输特性曲线可知,当输入电压从0 V开始逐渐增加时,输出高电平维持一段时间没有改变。同样,当输入电压由 VDD 开始降低时,输出低电平也维持一段时间没有改变。因此。在反相器的输出逻辑状态没有发生明显改变时,输入高、低电平值允许有一个波动范围,如下图所示。

输出高、低电平值也允许有一个波动范围,如下图所示。

上一级门电路的输出常常作为下一级门电路的输入,所以制作时也要考虑输出电平能适配输入电平的允许波动范围。

CMOS系列基本逻辑门电路中,除上述介绍的非门(反相器)外,还有与门、或门、与非门、或非门 、异或门等电路。具体参考上面贴出来的那篇文章,很详细。 

CMOS传输门

传输门(Transmission Gate,TG)的应用比较广泛,不仅可以作为基本单元电路构成各种逻辑电路,用于数字信号的传输,而且可以在取样-保持电路、斩波电路、模数和数模转换等电路中传输模拟信号,因而又称为模拟开关。

其实就是控制电路的导通和关断。注意用在模拟电路中时通常只能驱动小电流,如果要驱动大电流还是要用继电器。

传输门的结构及工作原理

CMOS传输门由一个P沟道和一个N沟道增强型MOS管并联而成,但是MOS管结构和普通的MOS管还是有点差别的,如下图所示。

这是它的逻辑符号

传输门上下两管是结构完全对称的,衬底的引线与普通 MOS管不同。所以栅极的引出端画在符号横线的中间。它们的漏极和源极可以互换,因而传输门的输入和输出端可以互换使用,即为双向器件。

C和C非是一对控制信号,当C给高电平,C非给低电平时,传输门导通,当C给低电平,C非给高电平时,传输门截止,由此来实现信号的传输和关断。

两管漏源间的等效电阻随输入电压的变化而变化。一管导通的程度越深,另一管的导通程度则相应地减小。也就是当一管的等效电阻减小,则另一管的等效电阻就增加。由于具有互补作用的两管并联在一起,使传输门导通电阻的变化相对各单管等效电阻的变化小得多,这是传输门的优点。

CMOS 传输门除了作为传输模拟信号的开关外,由于它的传输延迟时间短、结构简单,也作为基本单元电路,用于构成各种逻辑电路,如数据选择器、触发器等。

保护电路和缓冲电路

 实际CMOS逻辑门电路的输入和输出端都有保护电路和缓冲电路。

前面讨论了具有输入、输出缓冲电路的 CMOS 集成电路。如果从输出端看,还有另外两种输出结构的 CMOS 门电路——漏极开路门(Open Drain,OD)和三态输出门(Tristate Logic,TSL)。下面分别加以讨论。 

OD门

设计使用时如果需要将两个CMOS逻辑门的输出端连在一起,则需要选择漏极开路的逻辑门。

漏极开路门的结构及工作原理

通常CMOS门电路都有反相器作输出缓冲电路。而在工程实践中,有时需要将两个门的输出端并联以实现与逻辑的功能称为线与,或者用于驱动大电流负载,或者实现逻辑电平变换。

所谓漏极开路是指CMOS 门电路的输出电路只有 NMOS管,并且它的漏极是开路的。漏极开路的与非门电路及逻辑符号如下图所示。

使用OD门时必须在漏极和电源 VDD 之间,外接一个上拉电阻 RP 。

下图所示为两个OD与-非门实现线与。

将两个门电路输出端接在一起,通过上拉电阻接电源。

由图可见,当两个与非门的输出全为1时,输出为1;只要其中一个为0时,输出为0。

因为任何一个MOS导通时都会输出低电平,此时输出就会被拉到地从而输出低电平。只有全高才能保证输出高电平,这就是线与的逻辑。

三态门

如果希望对 CMOS逻辑门电路的输出加以控制,则选择三态输出逻辑门电路。  

利用 OD 门虽然可以实现 线与 的功能,但外接电阻 RP 的选择要受到一定的限制,因此影响了工作速度。同时它省去了PMOS有源负载,使得带负载能力下降。为保持互补输出级的优点,又可以与总线连接,人们又开发了一种三态输出门电路,它的输出除了具有一般门电路的两种状态,即输出高、低电平外,还具有高输出阻抗的第三状态,称为高阻态,又称为禁止态

下图左所示为高电平使能的三态输出缓冲电路。图右是它的逻辑符号。

其中A是输入端,L为输出端,EN(Enable)是控制信号输入端,也称为使能端。

高阻态其实就是开路。

三态输出门电路主要用于总线传输,如计算机或微处理器系统,其连接形式如下图所示。

任何时刻只有一个门电路的使能端EN为1,该门电路的信号被传到总线上,而其他三态输出电路处于高阻状态。这样就可以按一定顺序将各个门电路的输出信号分时送到总线上。

在实际应用中,除上述介绍的三态输出电路外,还有其他不同形式的电路结构。使能端可以是高电平或低电平有效,输出与输入可以是同相或反相,其目的都是为用户提供一个合适的动态特性。例如,接到总线上的三态输出电路,在任何时刻只有一个使能端为有效信号,这就要求某个与总线进行数据传输的三态门必须关断以后,另一个三态门才允许与总线进行数据传输。即从高阻态到高电平(或低电平)输出的转换时间,略大于从高电平(或低电平)到高阻态的转换时间。这样,控制系统给出的使能信号,使前一个电路进入高阻状态以后,后一个电路的输出信号才送到总线上,以避免两个不同的信号在总线上引起冲突。

CMOS逻辑门电路重要参数 

1

输入和输出的高、低电平

数字电路中的高、低电压常用高、低电平来描述,并规定在正逻辑体制中,用逻辑1和0分别表示高、低电平。当逻辑电路的输入信号在一定范围内变化时,输出电压并不会改变,因此逻辑1或0对应一定的电压范围。

不同系列的集成电路,输入和输出为逻辑1或0所对应的电压范围也不同。

2

噪声容限

噪声容限表示门电路的抗干扰能力。二值数字逻辑电路的优点在于它的输入信号允许一定的容差。

在数字系统中,各逻辑电路之间的连线可能会受到各种噪声的干扰,如信号传输引起的噪声,信号的高低电平转换引起的噪声,或者邻近开关信号所引起的随机脉冲的噪声。这些噪声会叠加在工作信号上,只要其幅度不超过逻辑电平允许的最小值或最大值,则输出逻辑状态不会受影响。通常将这个最大噪声幅度称为噪声容限。电路的噪声容限越大,其抗干扰能力越强。

下图所示为噪声容限定义的示意图。

前一级驱动门电路的输出,就是后一级负载门电路的输入。

输出要保证在输入允许的波动范围之内。

如果噪声容限太小,就会容易导致高低电平发生转变,从而导致数据出错。

3

传输延迟时间

传输延迟时间是表征门电路开关速度的参数,它说明门电路在输入脉冲波形的作用下,其输出波形相对于输入波形延迟了多长时间。

当非门电路的输入端加入一脉冲波形,其相应的输出波形如下图所示。

下图所示为几种 CMOS集成电路的传输延迟时间tpd与电源电压 VDD 的关系曲线。

由图可见,当电源电压增加时,传输延迟时间减少,可提高工作速度

74AHC 系列的速度达到了74HC系列的两倍,而低电压74LVC、74ALVC 和超低电压 74AUC 系列的电源电压更低,传输延迟时间更短,工作速度更快。

总之要知道,一般时延都是几纳秒到几十纳秒。

类NMOS门电路

MOS数字集成电路的发展经历了由 PMOS、NMOS 到 CMOS的过程,其中 PMOS电路问世最早。PMOS管是以空穴为导电载流子,而NMOS管以电子为导电载流子,由于空穴的迁移率比电子低,因此,NMOS电路的工作速度比 PMOS电路快,而且 PMOS 使用负电源,与 TTL电路不匹配,所以PMOS电路被 NMOS电路取代

NMOS电路的工作速度快,几何尺寸小,而且生产工艺水平也不断提高和完善,所以某些特殊应用中采用NMOS电路更好。后来发展的 CMOS电路有静态功耗低、抗干扰能力强等诸多优点而成为主流器件。但是 CMOS 门电路每增加一个输入端就要增加一个NMOS管和一个 PMOS管,而且空穴的迁移率比电子的迁移率低,为获得同样的导通电阻和电流,PMOS管所需的芯片面积更大。

为减少电路中 PMOS 管的数目,在对性能要求不太高,并且希望芯片面积尽可能小的情况下,仍然采用NMOS电路。

NMOS逻辑门电路全部由N沟道MOS管构成。NMOS反相器是NMOS逻辑门电路的基本电路形式,它的工作管为增强型MOS管,而负载管可以是增强型也可以是耗尽型MOS管。

了解即可。

闩锁效应

简单来说

Latch-up是指在CMOS集成电路中,会有寄生的双极晶体管,在一定的条件下寄生的晶体管会被触发而形成低阻通路,从而产生大电流,并且由于正反馈电路的存在而形成闩锁,导致CMOS集成电路无法正常工作,甚至烧毁芯片。闩锁效应是由NMOS的有源区、P衬底、N阱、PMOS的有源区构成的n-p-n-p结构产生的。

Latch up 最易产生在易受外部干扰的I/O电路处, 也偶尔发生在内部电路。

Latch up 是指cmos晶片中, 在电源power VDD和地线GND(VSS)之间由于寄生的PNP和NPN双极性BJT相互影响而产生的一低阻抗通路, 它的存在会使VDD和GND之间产生大电流,无法关断,除非切断电源。

TTL门电路

Transistor-Transistor Logic 晶体管-晶体管逻辑。

TTL门电路,就是由双极结型三极管(Biploar Junction Transistor,BJT)构成的各种逻辑门电路。

很多思想和CMOS门电路差不多,就是实现方式不一样罢了。

比如

TTL反相器

TTL的OC门

TTL三态门

等等。

小节补充 

所谓“推拉式输出级”,是指电路工作时,两只对称的开关管每次只有一个导通,所以导通损耗小、效率高。输出既可以向负载灌电流,也可以从负载抽取电流;采用推拉式输出级既能提高电路的负载能力,又可以提高开关速度。 

什么是低电平有效

就是某个集成电路,需要某个引脚为低电平时,电路才能正常工作,得到想要的结果,一般是使能引脚。当然,有效电平的概念并不止限于使能端信号。 

在实际电路,特别是大规模集成芯片中,任何输入或者输出信号都有可能是高电平有效,或者是低电平有效。所谓低电平有效,是指当信号为低电平时,电路完成规定的操作;而高电平有效、是指信号为高电平时,电路完成规定的操作。

低电平有效和高电平有效都是相对的,简单来想,加个反相器就能转换了。

TTL电平和CMOS电平

1

TTL电平,就是TTL门电路内部需要使用的电平

常见分类

一般来说,对于同等级电压的CMOS电平和TTL电平来说,CMOS电平可以直接驱动TTL电平,但是TTL电平没法直接驱动CMOS电平。

具体也要看芯片的使用手册,无法一概而论。

针对上图,我们先看TTL那一列。

VTH是门限电压,过渡阶段,即将发生高低电平的转换,门电路内部结构发生急剧变化;

VOH是输出时高电平的最低电压,VIH是输入时高电平的最低电压;

VOL是输出时低电平的最高电压,VIL是输入时低电平的最高电压;

从上图可知,TTL的VOH没法满足CMOS的VIH,故无法直接驱动。

2

CMOS电平,就是CMOS门电路使用的电平

电平范围参考上面的一张电平图即可。

注意事项:

不同电平的常见转换方式

为什么要转换电平呢?

不同电平特性的器件之间需要通信,也就是进行数据传输,如果电平不一致,就没法相互识别,导致逻辑0和1错乱。

常见的电平一般有5V、3.3V,再就是1.8V、2.8V等等。

以下列举几种转换电路,仅供参考:

更多自行查阅资料。

数字电路的抗干扰

利用逻辑门电路(CMOS 或 TTL)作具体的电路设计时,还应当注意下列几个实际问题。

多余输入端的处理措施

集成逻辑门电路在使用时,一般不让多余的输入端悬空,以防引入干扰信号。对多余输入端的处理以不改变电路工作状态及稳定可靠为原则,如下图所示。

一是将它与其他输入端并接在一起。

二是根据逻辑要求,与门或者与非门的多余输入端通过1~3kΩ电阻接正电源,对CMOS电路可以直接接电源。或门或者或非门的多余输入端接地。对于高速电路的设计,输入端并接会增加等效的电容性负载,而使信号的传输速度下降,最好采用图3.8.13所示的后两种方法。

特别是CMOS电路的多余输入端绝对不能悬空。由于它的输入电阻很大,容易受到静电或工作区域工频电磁场引入电荷的影响,从而破坏电路的正常工作状态。

MOS管属于压控型器件,输入阻抗很大,只需要一点小的干扰电流,就能让器件发生误操作。

去耦合滤波电容

数字电路或数学字系统往往由多片逻辑电路构成。它们由一公共的直流电源供电。这种电源是非理想的,一般由整流稳压电路供电,具有一定的内阻抗。当数字电路在高、低状态之间交替变换时,会产生较大的脉冲电流或尖峰电流。当它们流经公共的内阻抗时,必将产生相互影响,甚至使逻辑功能发生错乱。

一种常用的处理方法是采用去耦合滤波电容,用10~100 μF的大电容器接在直流电源与地之间,滤除干扰信号。除此以外,对于每一集成芯片的电源与地之间接一个0.1 μF 的电容器以滤除开关噪声。

接地和安装工艺

正确的接地技术对于降低电路噪声是很重要的。方法是将电源地与信号地分开,先将信号地汇集在一点,然后将二者用最短的导线连在一起,以避免含有多种脉冲波形(含尖峰电流)的大电流引到某数字器件的输入端而破坏系统正常的逻辑功能。

此外,当系统中同时有模拟和数字两种器件时,同样需将二者的地分别连在一起,然后再选用一个合适共同点接地,以避免二者之间的影响。必要时,也可设计模拟和数字两块电路板,各备直流电源,然后将二者的地恰当地 连接在一起。

在印制电路板的设计或安装中,要注意连线尽可能短,以减少接线电容产生寄生反馈而引起的寄生振荡。这方面更详细的介绍,可参阅有关文献。某些典型电路应用设计也可参考集成数字电路的数据手册。

后言

CMOS逻辑集成器件从 20 世纪60年代至今,特别是近 20年来,由于制造工艺的不断改进,在提高集成度 、缩短传输延迟时间和减小单元电路功耗等方面取得了很大的进步,生产出种类繁多的标准化、系列化的CMOS通用集成电路产品。每一种新的通用集成逻辑器件系列的生产,都是运用新技术对逻辑电路性能进行改进的结果。正如前面介绍的 4000 系列、HC/HCT 系列、AHC/AHCT系列,以及低电压 LVC系列、AUC系列和 AUP系列等。

根据逻辑功能的特点,可将数字集成芯片分为通用型和专用型两类

中、小规模数字集成芯片都属于通用型集成芯片,它们的通用性很强。由多个不同的通用集成芯片连接起来可以构成各种数字电路或数字系统。通用型集成芯片的逻辑功能是固定的,不能为设计某一特定逻辑电路而改变。芯片内包含的逻辑门数量较少,构成大型逻辑电路时所用芯片种类和数量多、体积大、可靠性差。

如果将所设计的数字系统制作在一块半导体芯片上,就构成了具有专门用途的集成芯片,即专用集成电路(ASIC)。ASIC 芯片减小了电路的体积、重量和功耗,提高了可靠性。但设计和生产ASIC电路的成本高、周期较长,并且用户不能修改。为此,半导体厂家生产出可编程逻辑器件。

可编程逻辑器件是通用逻辑器件,其逻辑功能可以由用户自己设定。用户通过软件编程可以实现所有通用集成单元电路的功能,也可以满足一般的数字系统设计需要。可编程逻辑器件的应用使得传统通用逻辑芯片失去了市场。

作为大规模可编程逻辑器件的补充或接口电路,小尺寸逻辑(Litle Logic)系列应运而生。相比传统通用逻辑芯片,小尺寸逻辑芯片的体积更小。小尺寸逻辑芯片不是用来构成电路或系统,而是用来修改或完善大规模集成芯片之间连线或外围电路的连线。另外,微处理器和计算机的进一步发展,要求性能更优越的总线驱动器件,出现了宽总线(Widebus)系列

实际应用中, 各种高速服务器、通信和网络设备也需要不同规格的小尺寸逻辑或宽总线逻辑器件,以满足它们 的灵活性要求。因此,小尺寸逻辑和宽总线电路成为通用型逻辑器件的主流器件,但比传统的通用集成电路系列的品种少得多。

这篇关于硬件基础:数字电路概述与基础门电路的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/638591

相关文章

RedHat运维-Linux文本操作基础-AWK进阶

你不用整理,跟着敲一遍,有个印象,然后把它保存到本地,以后要用再去看,如果有了新东西,你自个再添加。这是我参考牛客上的shell编程专项题,只不过换成了问答的方式而已。不用背,就算是我自己亲自敲,我现在好多也记不住。 1. 输出nowcoder.txt文件第5行的内容 2. 输出nowcoder.txt文件第6行的内容 3. 输出nowcoder.txt文件第7行的内容 4. 输出nowcode

Vim使用基础篇

本文内容大部分来自 vimtutor,自带的教程的总结。在终端输入vimtutor 即可进入教程。 先总结一下,然后再分别介绍正常模式,插入模式,和可视模式三种模式下的命令。 目录 看完以后的汇总 1.正常模式(Normal模式) 1.移动光标 2.删除 3.【:】输入符 4.撤销 5.替换 6.重复命令【. ; ,】 7.复制粘贴 8.缩进 2.插入模式 INSERT

零基础STM32单片机编程入门(一)初识STM32单片机

文章目录 一.概要二.单片机型号命名规则三.STM32F103系统架构四.STM32F103C8T6单片机启动流程五.STM32F103C8T6单片机主要外设资源六.编程过程中芯片数据手册的作用1.单片机外设资源情况2.STM32单片机内部框图3.STM32单片机管脚图4.STM32单片机每个管脚可配功能5.单片机功耗数据6.FALSH编程时间,擦写次数7.I/O高低电平电压表格8.外设接口

《计算机英语》Unit 1 Computer Overview 计算机概述

期末试卷组成 1、选择20道 2、判断20道 3、词汇翻译(单词+词组,参照课后习题) 4、翻译2道(一道原题,参照作业) SectionA About Computer 关于计算机 algorithm          n.  算法  operate          v.  操作  digital           adj. 数字的  integrated circuit

ps基础入门

1.基础      1.1新建文件      1.2创建指定形状      1.4移动工具          1.41移动画布中的任意元素          1.42移动画布          1.43修改画布大小          1.44修改图像大小      1.5框选工具      1.6矩形工具      1.7图层          1.71图层颜色修改          1

硬件基础知识——自学习梳理

计算机存储分为闪存和永久性存储。 硬盘(永久存储)主要分为机械磁盘和固态硬盘。 机械磁盘主要靠磁颗粒的正负极方向来存储0或1,且机械磁盘没有使用寿命。 固态硬盘就有使用寿命了,大概支持30w次的读写操作。 闪存使用的是电容进行存储,断电数据就没了。 器件之间传输bit数据在总线上是一个一个传输的,因为通过电压传输(电流不稳定),但是电压属于电势能,所以可以叠加互相干扰,这也就是硬盘,U盘

【云计算 复习】第1节 云计算概述和 GFS + chunk

一、云计算概述 1.云计算的商业模式 (1)软件即服务(SaaS) 有些景区给游客提供烧烤场地,游客需要自己挖坑或者砌烧烤台,然后买肉、串串、烧烤。 (2)平台即服务(PaaS) 有些景区给游客提供烧烤场地,同时搭建好烧烤台,游客只需要自己带食材和调料、串串、烧烤。 (3)基础设施即服务(IaaS) 有些景区给游客提供烧烤场地,同时搭建好烧烤台,还有专门的厨师来烧烤,用户不需要关心前面的所有

[FPGA][基础模块]跨时钟域传播脉冲信号

clk_a 周期为10ns clk_b 周期为34ns 代码: module pulse(input clk_a,input clk_b,input signal_a,output reg signal_b);reg [4:0] signal_a_widen_maker = 0;reg signal_a_widen;always @(posedge clk_a)if(signal_a)

00 - React 基础

1. React 基础 安装react指令 可参考: 官网官网使用教程 如: npx create-react-app 项目名如:npx create-react-app react-redux-pro JSX JSX 是一种 JavaScript 的语法扩展,类似于 XML 或 HTML,允许我们在 JavaScript 代码中编写 HTML。 const element =

AI赋能天气:微软研究院发布首个大规模大气基础模型Aurora

编者按:气候变化日益加剧,高温、洪水、干旱,频率和强度不断增加的全球极端天气给整个人类社会都带来了难以估计的影响。这给现有的天气预测模型提出了更高的要求——这些模型要更准确地预测极端天气变化,为政府、企业和公众提供更可靠的信息,以便做出及时的准备和响应。为了应对这一挑战,微软研究院开发了首个大规模大气基础模型 Aurora,其超高的预测准确率、效率及计算速度,实现了目前最先进天气预测系统性能的显著