FPGA模块——以太网(1)MDIO读写

2023-12-22 04:36

本文主要是介绍FPGA模块——以太网(1)MDIO读写,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

FPGA模块——以太网MDIO读写

  • MDIO接口介绍
  • MDIO接口代码
    • (1)MDIO接口驱动代码
    • (2)使用MDIO驱动的代码

MDIO接口介绍

MDIO是串行管理接口。MAC 和 PHY 芯片有一个配置接口,即 MDIO 接口,可以配置 PHY 芯片的工作模式以及获取 PHY 芯片的若干状态信息。

1.MDIO部分的接口结构
在这里插入图片描述

2.千兆以太网在接口上兼容百兆和十兆以太网。
在这里插入图片描述
在这里插入图片描述
3.YT8511 是一个千兆以太网物理层收发器,支持 1000/100/10Mbps 通信速率,该芯片内部的参数可以通过MDIO接口进行配置。
在这里插入图片描述

MDIO接口代码

MDIO接口主要是控制三根接口线,进行驱动和读写。
在这里插入图片描述

(1)MDIO接口驱动代码

mdio_dri文件:输入一些读写开始等等控制信号,输出读到的数据和控制芯片的时钟

module mdio_dri #(parameter  PHY_ADDR = 5'b00100,//PHY地址parameter  CLK_DIV  = 6'd10    //分频系数)(input                clk       , //时钟信号input                rst_n     , //复位信号,低电平有效input                op_exec   , //触发开始信号input                op_rh_wl  , //低电平写,高电平读input        [4:0]   op_addr   , //寄存器地址input        [15:0]  op_wr_data, //写入寄存器的数据output  reg          op_done   , //读写完成output  reg  [15:0]  op_rd_data, //读出的数据output  reg          op_rd_ack , //读应答信号 0:应答 1:未应答output  reg          dri_clk   , //驱动时钟output  reg          eth_mdc   , //PHY管理接口的时钟信号inout                eth_mdio    //PHY管理接口的双向数据信号);//parameter define
localparam st_idle    = 6'b00_0001;  //空闲状态
localparam st_pre     = 6'b00_0010;  //发送PRE(前导码)
localparam st_start   = 6'b00_0100;  //开始状态,发送ST(开始)+OP(操作码)
localparam st_addr    = 6'b00_1000;  //写地址,发送PHY地址+寄存器地址
localparam st_wr_data = 6'b01_0000;  //TA+写数据
localparam st_rd_data = 6'b10_0000;  //TA+读数据//reg define
reg    [5:0]  cur_state ;
reg    [5:0]  next_state;reg    [5:0]  clk_cnt   ;  //分频计数                      
reg   [15:0]  wr_data_t ;  //缓存写寄存器的数据
reg    [4:0]  addr_t    ;  //缓存寄存器地址
reg    [6:0]  cnt       ;  //计数器
reg           st_done   ;  //状态开始跳转信号
reg    [1:0]  op_code   ;  //操作码  2'b01(写)  2'b10(读)                  
reg           mdio_dir  ;  //MDIO数据(SDA)方向控制
reg           mdio_out  ;  //MDIO输出信号
reg   [15:0]  rd_data_t ;  //缓存读寄存器数据//wire define
wire          mdio_in    ; //MDIO数据输入
wire   [5:0]  clk_divide ; //PHY_CLK的分频系数assign eth_mdio = mdio_dir ? mdio_out : 1'bz; //控制双向io方向
assign mdio_in = eth_mdio;                    //MDIO数据输入
//将PHY_CLK的分频系数除以2,得到dri_clk的分频系数,方便对MDC和MDIO信号操作
assign clk_divide = CLK_DIV >> 1;//分频得到dri_clk时钟
always @(posedge clk or negedge rst_n) beginif(!rst_n) begindri_clk <=  1'b0;clk_cnt <= 1'b0;endelse if(clk_cnt == clk_divide[5:1] - 1'd1) beginclk_cnt <= 1'b0;dri_clk <= ~dri_clk;endelseclk_cnt <= clk_cnt + 1'b1;
end//产生PHY_MDC时钟
always @(posedge dri_clk or negedge rst_n) beginif(!rst_n)eth_mdc <= 1'b1;else if(cnt[0] == 1'b0)eth_mdc <= 1'b1;else    eth_mdc <= 1'b0;  
end//(三段式状态机)同步时序描述状态转移
always @(posedge dri_clk or negedge rst_n) beginif(!rst_n)cur_state <= st_idle;elsecur_state <= next_state;
end  //组合逻辑判断状态转移条件
always @(*) beginnext_state = st_idle;case(cur_state)st_idle : beginif(op_exec)next_state = st_pre;else next_state = st_idle;   end  st_pre : beginif(st_done)next_state = st_start;elsenext_state = st_pre;endst_start : beginif(st_done)next_state = st_addr;elsenext_state = st_start;endst_addr : beginif(st_done) beginif(op_code == 2'b01)                //MDIO接口写操作  next_state = st_wr_data;elsenext_state = st_rd_data;        //MDIO接口读操作  endelsenext_state = st_addr;endst_wr_data : beginif(st_done)next_state = st_idle;elsenext_state = st_wr_data;end        st_rd_data : beginif(st_done)next_state = st_idle;elsenext_state = st_rd_data;end                                                                          default : next_state = st_idle;endcaseend//时序电路描述状态输出
always @(posedge dri_clk or negedge rst_n) beginif(!rst_n) begincnt <= 5'd0;op_code <= 1'b0;addr_t <= 1'b0;wr_data_t <= 1'b0;rd_data_t <= 1'b0;op_done <= 1'b0;st_done <= 1'b0; op_rd_data <= 1'b0;op_rd_ack <= 1'b1;mdio_dir <= 1'b0;mdio_out <= 1'b1;endelse beginst_done <= 1'b0 ;                            cnt     <= cnt +1'b1 ;          case(cur_state)st_idle : beginmdio_out <= 1'b1;                     mdio_dir <= 1'b0;                     op_done <= 1'b0;                     cnt <= 7'b0;  if(op_exec) beginop_code <= {op_rh_wl,~op_rh_wl}; //OP_CODE: 2'b01(写)  2'b10(读) addr_t <= op_addr;wr_data_t <= op_wr_data;op_rd_ack <= 1'b1;end     end st_pre : begin                          //发送前导码:32个1bit mdio_dir <= 1'b1;                   //切换MDIO引脚方向:输出mdio_out <= 1'b1;                   //MDIO引脚输出高电平if(cnt == 7'd62) st_done <= 1'b1;else if(cnt == 7'd63)cnt <= 7'b0;end            st_start  : begincase(cnt)7'd1 : mdio_out <= 1'b0;        //发送开始信号 2'b017'd3 : mdio_out <= 1'b1; 7'd5 : mdio_out <= op_code[1];  //发送操作码7'd6 : st_done <= 1'b1;7'd7 : beginmdio_out <= op_code[0];cnt <= 7'b0;  end    default : ;endcaseend    st_addr : begincase(cnt)7'd1 : mdio_out <= PHY_ADDR[4]; //发送PHY地址7'd3 : mdio_out <= PHY_ADDR[3];7'd5 : mdio_out <= PHY_ADDR[2];7'd7 : mdio_out <= PHY_ADDR[1];  7'd9 : mdio_out <= PHY_ADDR[0];7'd11: mdio_out <= addr_t[4];  //发送寄存器地址7'd13: mdio_out <= addr_t[3];7'd15: mdio_out <= addr_t[2];7'd17: mdio_out <= addr_t[1];  7'd18: st_done <= 1'b1;7'd19: beginmdio_out <= addr_t[0]; cnt <= 7'd0;end    default : ;endcase                end    st_wr_data : begincase(cnt)7'd1 : mdio_out <= 1'b1;         //发送TA,写操作(2'b10)7'd3 : mdio_out <= 1'b0;7'd5 : mdio_out <= wr_data_t[15];//发送写寄存器数据7'd7 : mdio_out <= wr_data_t[14];7'd9 : mdio_out <= wr_data_t[13];7'd11: mdio_out <= wr_data_t[12];7'd13: mdio_out <= wr_data_t[11];7'd15: mdio_out <= wr_data_t[10];7'd17: mdio_out <= wr_data_t[9];7'd19: mdio_out <= wr_data_t[8];7'd21: mdio_out <= wr_data_t[7];7'd23: mdio_out <= wr_data_t[6];7'd25: mdio_out <= wr_data_t[5];7'd27: mdio_out <= wr_data_t[4];7'd29: mdio_out <= wr_data_t[3];7'd31: mdio_out <= wr_data_t[2];7'd33: mdio_out <= wr_data_t[1];7'd35: mdio_out <= wr_data_t[0];7'd37: beginmdio_dir <= 1'b0;mdio_out <= 1'b1;end7'd39: st_done <= 1'b1;           7'd40: begincnt <= 7'b0;op_done <= 1'b1;      //写操作完成,拉高op_done信号 end    default : ;endcase    endst_rd_data : begincase(cnt)7'd1 : beginmdio_dir <= 1'b0;            //MDIO引脚切换至输入状态mdio_out <= 1'b1;end7'd2 : ;                         //TA[1]位,该位为高阻状态,不操作             7'd4 : op_rd_ack <= mdio_in;     //TA[0]位,0(应答) 1(未应答)7'd6 : rd_data_t[15] <= mdio_in; //接收寄存器数据7'd8 : rd_data_t[14] <= mdio_in;7'd10: rd_data_t[13] <= mdio_in;7'd12: rd_data_t[12] <= mdio_in;7'd14: rd_data_t[11] <= mdio_in;7'd16: rd_data_t[10] <= mdio_in;7'd18: rd_data_t[9] <= mdio_in;7'd20: rd_data_t[8] <= mdio_in;7'd22: rd_data_t[7] <= mdio_in;7'd24: rd_data_t[6] <= mdio_in;7'd26: rd_data_t[5] <= mdio_in;7'd28: rd_data_t[4] <= mdio_in;7'd30: rd_data_t[3] <= mdio_in;7'd32: rd_data_t[2] <= mdio_in;7'd34: rd_data_t[1] <= mdio_in;7'd36: rd_data_t[0] <= mdio_in;7'd39: st_done <= 1'b1;7'd40: beginop_done <= 1'b1;             //读操作完成,拉高op_done信号          op_rd_data <= rd_data_t;rd_data_t <= 16'd0;cnt <= 7'd0;enddefault : ;endcase   end                default : ;endcase               end
end                    endmodule

(2)使用MDIO驱动的代码

mdio_ctrl文件:对寄存器进行读写配置,主要还是读取状态,用于显示

1.基本控制寄存器地址:0x00
代码里面配置为16’h9140 即1001_0001_0100_0000
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

2.基本状态寄存器地址:0x01
用来读出转态信息
在这里插入图片描述
在这里插入图片描述

3.特定状态寄存器地址:0x11
在这里插入图片描述

module mdio_ctrl(input                clk           ,input                rst_n         ,input                soft_rst_trig , //软复位触发信号input                op_done       , //读写完成input        [15:0]  op_rd_data    , //读出的数据input                op_rd_ack     , //读应答信号 0:应答 1:未应答output  reg          op_exec       , //触发开始信号output  reg          op_rh_wl      , //低电平写,高电平读output  reg  [4:0]   op_addr       , //寄存器地址output  reg  [15:0]  op_wr_data    , //写入寄存器的数据output       [1:0]   led             //LED灯指示以太网连接状态);//reg define
reg          rst_trig_d0;    
reg          rst_trig_d1;    
reg          rst_trig_flag;   //soft_rst_trig信号触发标志
reg  [23:0]  timer_cnt;       //定时计数器 
reg          timer_done;      //定时完成信号
reg          start_next;      //开始读下一个寄存器标致
reg          read_next;       //处于读下一个寄存器的过程
reg          link_error;      //链路断开或者自协商未完成
reg  [2:0]   flow_cnt;        //流程控制计数器 
reg  [1:0]   speed_status;    //连接速率 //wire define
wire         pos_rst_trig;    //soft_rst_trig信号上升沿//采soft_rst_trig信号上升沿
assign pos_rst_trig = ~rst_trig_d1 & rst_trig_d0;
//未连接或连接失败时led赋值00
// 01:10Mbps  10:100Mbps  11:1000Mbps 00:其他情况
assign led = link_error ? 2'b00: speed_status;
//对soft_rst_trig信号延时打拍
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginrst_trig_d0 <= 1'b0;rst_trig_d1 <= 1'b0;endelse beginrst_trig_d0 <= soft_rst_trig;rst_trig_d1 <= rst_trig_d0;end
end//定时计数
always @(posedge clk or negedge rst_n) beginif(!rst_n) begintimer_cnt <= 1'b0;timer_done <= 1'b0;endelse beginif(timer_cnt == 24'd1_000_000 - 1'b1) begintimer_done <= 1'b1;timer_cnt <= 1'b0;endelse begintimer_done <= 1'b0;timer_cnt <= timer_cnt + 1'b1;endend
end    //根据软复位信号对MDIO接口进行软复位,并定时读取以太网的连接状态
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginflow_cnt <= 3'd0;rst_trig_flag <= 1'b0;speed_status <= 2'b00;op_exec <= 1'b0; op_rh_wl <= 1'b0; op_addr <= 1'b0;       op_wr_data <= 1'b0; start_next <= 1'b0; read_next <= 1'b0; link_error <= 1'b0;endelse beginop_exec <= 1'b0; if(pos_rst_trig)                      rst_trig_flag <= 1'b1;             //拉高软复位触发标志case(flow_cnt)2'd0 : beginif(rst_trig_flag) begin        //开始对MDIO接口进行软复位op_exec <= 1'b1; op_rh_wl <= 1'b0; op_addr <= 5'h00; op_wr_data <= 16'h9140;    // Bit[15]=1'b1,表示软复位flow_cnt <= 3'd1;endelse if(timer_done) begin      //定时完成,获取以太网连接状态op_exec <= 1'b1; op_rh_wl <= 1'b1; op_addr <= 5'h01; flow_cnt <= 3'd2;endelse if(start_next) begin       //开始读下一个寄存器,获取以太网通信速度op_exec <= 1'b1; op_rh_wl <= 1'b1; op_addr <= 5'h11;flow_cnt <= 3'd2;start_next <= 1'b0; read_next <= 1'b1; endend    2'd1 : beginif(op_done) begin              //MDIO接口软复位完成flow_cnt <= 3'd0;rst_trig_flag <= 1'b0;endend2'd2 : begin                       if(op_done) begin              //MDIO接口读操作完成if(op_rd_ack == 1'b0 && read_next == 1'b0) //读第一个寄存器,接口成功应答,flow_cnt <= 3'd3;                      //读第下一个寄存器,接口成功应答else if(op_rd_ack == 1'b0 && read_next == 1'b1)begin read_next <= 1'b0;flow_cnt <= 3'd4;endelse beginflow_cnt <= 3'd0;endend    end2'd3 : begin                     flow_cnt <= 3'd0;          //链路正常并且自协商完成if(op_rd_data[5] == 1'b1 && op_rd_data[2] == 1'b1)beginstart_next <= 1;link_error <= 0;endelse beginlink_error <= 1'b1;  end           end3'd4: beginflow_cnt <= 3'd0;if(op_rd_data[15:14] == 2'b10)speed_status <= 2'b11; //1000Mbpselse if(op_rd_data[15:14] == 2'b01) speed_status <= 2'b10; //100Mbps else if(op_rd_data[15:14] == 2'b00) speed_status <= 2'b01; //10Mbpselsespeed_status <= 2'b00; //其他情况  endendcaseend    
end    endmodule

这篇关于FPGA模块——以太网(1)MDIO读写的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/522625

相关文章

python: 多模块(.py)中全局变量的导入

文章目录 global关键字可变类型和不可变类型数据的内存地址单模块(单个py文件)的全局变量示例总结 多模块(多个py文件)的全局变量from x import x导入全局变量示例 import x导入全局变量示例 总结 global关键字 global 的作用范围是模块(.py)级别: 当你在一个模块(文件)中使用 global 声明变量时,这个变量只在该模块的全局命名空

10. 文件的读写

10.1 文本文件 操作文件三大类: ofstream:写操作ifstream:读操作fstream:读写操作 打开方式解释ios::in为了读文件而打开文件ios::out为了写文件而打开文件,如果当前文件存在则清空当前文件在写入ios::app追加方式写文件ios::trunc如果文件存在先删除,在创建ios::ate打开文件之后令读写位置移至文件尾端ios::binary二进制方式

深入探索协同过滤:从原理到推荐模块案例

文章目录 前言一、协同过滤1. 基于用户的协同过滤(UserCF)2. 基于物品的协同过滤(ItemCF)3. 相似度计算方法 二、相似度计算方法1. 欧氏距离2. 皮尔逊相关系数3. 杰卡德相似系数4. 余弦相似度 三、推荐模块案例1.基于文章的协同过滤推荐功能2.基于用户的协同过滤推荐功能 前言     在信息过载的时代,推荐系统成为连接用户与内容的桥梁。本文聚焦于

【STM32】SPI通信-软件与硬件读写SPI

SPI通信-软件与硬件读写SPI 软件SPI一、SPI通信协议1、SPI通信2、硬件电路3、移位示意图4、SPI时序基本单元(1)开始通信和结束通信(2)模式0---用的最多(3)模式1(4)模式2(5)模式3 5、SPI时序(1)写使能(2)指定地址写(3)指定地址读 二、W25Q64模块介绍1、W25Q64简介2、硬件电路3、W25Q64框图4、Flash操作注意事项软件SPI读写W2

Jenkins构建Maven聚合工程,指定构建子模块

一、设置单独编译构建子模块 配置: 1、Root POM指向父pom.xml 2、Goals and options指定构建模块的参数: mvn -pl project1/project1-son -am clean package 单独构建project1-son项目以及它所依赖的其它项目。 说明: mvn clean package -pl 父级模块名/子模块名 -am参数

寻迹模块TCRT5000的应用原理和功能实现(基于STM32)

目录 概述 1 认识TCRT5000 1.1 模块介绍 1.2 电气特性 2 系统应用 2.1 系统架构 2.2 STM32Cube创建工程 3 功能实现 3.1 代码实现 3.2 源代码文件 4 功能测试 4.1 检测黑线状态 4.2 未检测黑线状态 概述 本文主要介绍TCRT5000模块的使用原理,包括该模块的硬件实现方式,电路实现原理,还使用STM32类

python内置模块datetime.time类详细介绍

​​​​​​​Python的datetime模块是一个强大的日期和时间处理库,它提供了多个类来处理日期和时间。主要包括几个功能类datetime.date、datetime.time、datetime.datetime、datetime.timedelta,datetime.timezone等。 ----------动动小手,非常感谢各位的点赞收藏和关注。----------- 使用datet

C8T6超绝模块--EXTI

C8T6超绝模块–EXTI 大纲 控制流程结构体分析EXTI实现按键 具体案例 控制流程 这里是流程框图,具体可以去看我STM32专栏的EXTI的具体分析 结构体分析 typedef struct {uint32_t EXTI_Line; // 中断/事件线EXTIMode_TypeDef EXTI_Mode; // EXTI 模式EXTITrigger_TypeDef EXTI_

关于使用cspreadsheet读写EXCEL表格数据的问题

前几天项目有读写EXCEL表格的需求,我就找了大概有几种,大致分为:COM方法、ODBC方法、OLE方法、纯底层格式分析方法。由于COM方法要求必须安装有OFFICE的EXCEL组件,纯底层格式分析方法又很多功能需要自行去完善,所有最终选择了数据库的方法,用数据库的方法去存取xls格式的数据。网上有一个高手写的CSpreedSheet,看了一下提供的接口,感觉挺好用的。在使用的过程中发现几个

1、创建多模块的maven springboot项目

现在的java的项目都是多模块的,这次也跟个风。 目标:实现下述结构 项目AcedBoot, 子模块:         aced-api 对外提供接口,         aced-web 给前端提供接口,         aced-service 服务层,         aced-dao 数据底层,包含数据库mapper和实体类entity,         aced-commo