vivado报错 遇到困难不要怕

2023-11-27 13:50

本文主要是介绍vivado报错 遇到困难不要怕,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

2023.8.21

[Designutils 20-1733] GTHE2 is not supported for the device xc7k420tffg1156-2

事情经过:在给工程换板子时候,ip_update日志显示-Upgrade has removed port 'gt0_qpll_lock_in';

gt0_qpll_lock_in这个信号总是被优化掉了。

后续是把srio的IP核解锁跟新。

2023.8.21

[DRC REQP-1960] GTXE2_valid_QPLL_input_clock_driver: GTXE2_CHANNEL cell u_sata_platform/gtwizard_support_i/gtwizard_init_i/inst/gtwizard_i/gt0_gtwizard_i/gtxe2_i: The u_sata_platform/gtwizard_support_i/gtwizard_init_i/inst/gtwizard_i/gt0_gtwizard_i/gtxe2_i/QPLLCLK input clock pin may only be driven by a GTXE2_COMMON or another GTXE2_CHANNEL, instead of u_sata_platform/gtwizard_support_i/common0_i/gthe2_common_i

后续。。。换成srio例程的common模块就不报错了

2023.8.23

[Xicom 50-38] xicom: Unable to connect to debug core(s) on the target device. Check cable connectivity and that the target board is powered up then use the disconnect_hw_server and connect_hw_server to re-initialize the hardware target. Use open_hw_target to re-register the hardware device.

事情经过:还是因为换了板子,软件有一个复位的操作,复位后一直报找不到ila了;

后续。。。这个问题整整折磨了三天多,从修改程序到重新建程序,最后使用原本程序才发现是设备重启后会自动烧录固有bit,ila都不匹配怎么能看ila呢。研究了那么久的代码竟不如破罐子破摔。

2023.8.28

ERROR: [Labtools 27-3165] End of startup status: LOW

线没接好。

2023.9.25

[DRC REQP-43] must_use_ref_clock: GTHE2_CHANNEL cell siro0_share_111_inst/chnl_loop[0].design_1_wrapper_isnt/design_1_i/srio_gen2_0/inst/srio_gt_wrapper_inst/inst/gtwizard_0_i/gt0_gtwizard_0_i/gthe2_i: An input reference clock pin (GTREFCLK0, GTREFCLK1, GTNORTHREFCLK0, GTNORTHREFCLK1, GTSOUTHREFCLK0, GTSOUTHREFCLK1 and set CPLLREFCLKSEL[2:0] appropriately) or GTHE2_COMMON clock input (QPLLCLK) must be used.

实验目的:将srio模块添加进block_design

原因:common模块的信号没有正确连接srio模块的信号

2023.9.25

[DRC REQP-1619] IBUFDS_GTE2_driven_by_IBUF: IBUFDS_GTE2 siro0_share_111_inst/srio_clk_inst/u_refclk_ibufds pins I and IB should be driven by IBUFs.

实验目的:将srio模块添加进block_design

原因:srio的rx,tx接口没有正确连接会出现管脚不能自动绑定和以上报错的情况。

2023.10.9

[Place 30-575] Sub-optimal placement for a clock-capable IO pin and MMCM pair. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets sys_clk] >

解决方法:添加xdc代码

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets diff_clock_rtl_0_clk_p_clk_p]

2023.10.9 

【SDK串口助手打印数据和设置的数据不对应】

选择【run configrations】-【reset entire system】

2023.10.16

【SDK运行报错】
问题:sdk查看srio地址一运行地址就打印一大片问号

[1]Error while launching program:
Memory write error at 0x80000000. MicroBlaze instruction insert overrun

[2]cannot stop microblze.Stalled on instruction fetch

 首先查看sdk的lscript.ld文件,查看Memory Region选的是哪个内存

再次检查代码地址是否指令地址和数据地址冲突,注意选择的数据地址要比DDR的首地址要大,且代码中不能超过比DDR最高地址要大的地址。


2023.10.18

[Timing 38-469] The REFCLK pin of IDELAYCTRL design_1_i/mig_7series_0/u_design_1_mig_7series_0_0_mig/u_iodelay_ctrl/u_idelayctrl_200 has a clock period of 4.998 ns (frequency 200.080 Mhz) but IDELAYE2 design_1_i/mig_7series_0/u_design_1_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_B.ddr_byte_lane_B/ddr_byte_group_io/input_[1].iserdes_dq_.idelay_dq.idelaye2 has REFCLK_FREQUENCY of 200.000 Mhz (period 5.000 ns). The IDELAYCTRL REFCLK pin frequency must match the IDELAYE2 REFCLK_FREQUENCY property.

解决方法:mig选项卡 referrence clock勾选no buffer,将引出的clk 连接到clk ip输出的时钟管脚。

2023.10.18

[Runs 36-527] DCP does not exist: c:/Users/zh/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-6536-DESKTOP-TRVG50K/coregen/design_1_mig_7series_0_0/design_1_mig_7series_0_0.dcp

解决方法:选下面这两个

这篇关于vivado报错 遇到困难不要怕的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/427796

相关文章

解决systemctl reload nginx重启Nginx服务报错:Job for nginx.service invalid问题

《解决systemctlreloadnginx重启Nginx服务报错:Jobfornginx.serviceinvalid问题》文章描述了通过`systemctlstatusnginx.se... 目录systemctl reload nginx重启Nginx服务报错:Job for nginx.javas

VMWare报错“指定的文件不是虚拟磁盘“或“The file specified is not a virtual disk”问题

《VMWare报错“指定的文件不是虚拟磁盘“或“Thefilespecifiedisnotavirtualdisk”问题》文章描述了如何修复VMware虚拟机中出现的“指定的文件不是虚拟... 目录VMWare报错“指定的文件不是虚拟磁盘“或“The file specified is not a virt

使用Vue.js报错:ReferenceError: “Vue is not defined“ 的原因与解决方案

《使用Vue.js报错:ReferenceError:“Vueisnotdefined“的原因与解决方案》在前端开发中,ReferenceError:Vueisnotdefined是一个常见... 目录一、错误描述二、错误成因分析三、解决方案1. 检查 vue.js 的引入方式2. 验证 npm 安装3.

PHP执行php.exe -v命令报错的解决方案

《PHP执行php.exe-v命令报错的解决方案》:本文主要介绍PHP执行php.exe-v命令报错的解决方案,文中通过图文讲解的非常详细,对大家的学习或工作有一定的帮助,需要的朋友可以参考下... 目录执行phpandroid.exe -v命令报错解决方案执行php.exe -v命令报错-PHP War

CentOS系统使用yum命令报错问题及解决

《CentOS系统使用yum命令报错问题及解决》文章主要讲述了在CentOS系统中使用yum命令时遇到的错误,并提供了个人解决方法,希望对大家有所帮助,并鼓励大家支持脚本之家... 目录Centos系统使用yum命令报错找到文件替换源文件为总结CentOS系统使用yum命令报错http://www.cppc

Jenkins 插件 地址证书报错问题解决思路

问题提示摘要: SunCertPathBuilderException: unable to find valid certification path to requested target...... 网上很多的解决方式是更新站点的地址,我这里修改了一个日本的地址(清华镜像也好),其实发现是解决不了上述的报错问题的,其实,最终拉去插件的时候,会提示证书的问题,几经周折找到了其中一遍博文

【Python报错已解决】AttributeError: ‘list‘ object has no attribute ‘text‘

🎬 鸽芷咕:个人主页  🔥 个人专栏: 《C++干货基地》《粉丝福利》 ⛺️生活的理想,就是为了理想的生活! 文章目录 前言一、问题描述1.1 报错示例1.2 报错分析1.3 解决思路 二、解决方法2.1 方法一:检查属性名2.2 步骤二:访问列表元素的属性 三、其他解决方法四、总结 前言 在Python编程中,属性错误(At

DBeaver 连接 MySQL 报错 Public Key Retrieval is not allowed

DBeaver 连接 MySQL 报错 Public Key Retrieval is not allowed 文章目录 DBeaver 连接 MySQL 报错 Public Key Retrieval is not allowed问题解决办法 问题 使用 DBeaver 连接 MySQL 数据库的时候, 一直报错下面的错误 Public Key Retrieval is

vue 父组件调用子组件的方法报错,“TypeError: Cannot read property ‘subDialogRef‘ of undefined“

vue 父组件调用子组件的方法报错,“TypeError: Cannot read property ‘subDialogRef’ of undefined” 最近用vue做的一个界面,引入了一个子组件,在父组件中调用子组件的方法时,报错提示: [Vue warn]: Error in v-on handler: “TypeError: Cannot read property ‘methods

Vue3上传图片报错:Current request is not a multipart request

当你看到错误 "Current request is not a multipart request" 时,这通常意味着你的服务器或后端代码期望接收一个 multipart/form-data 类型的请求,但实际上并没有收到这样的请求。在使用 <el-upload> 组件时,如果你已经设置了 http-request 属性来自定义上传行为,并且遇到了这个错误,可能是因为你在发送请求时没有正确地设置