【FGPA】Verilog:移位寄存器 | 环形计数器 | 4bit移位寄存器的实现 | 4bit环形计数器的实现

2023-11-10 14:04

本文主要是介绍【FGPA】Verilog:移位寄存器 | 环形计数器 | 4bit移位寄存器的实现 | 4bit环形计数器的实现,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

 

目录

Ⅰ. 理论部分

0x00 移位寄存器(Shift Register)

0x01 环形计数器(Ring Counter)

Ⅱ. 实践部分

0x00 移位寄存器(4-bit)

0x01 四位环形寄存器(4-bit)


Ⅰ. 理论部分

0x00 移位寄存器(Shift Register)

移位寄存器 (Shift Register) 是 由多个触发器串联连接而成的形式,其中一个触发器的输出传递到下一个触发器的输入。它与上周调查的异步计数器具有相似的形式。因此,存储在触发器的内存中的值在时钟更新时每次向右移动一位。新的数据值从输入线存储到左侧的存储器中。

移位寄存器(Shift Register)

0x01 环形计数器(Ring Counter)

环形计数器 (Ring Counter) 是一种 以数据在一系列中旋转的形式存储的移位寄存器。 最后一个触发器的输出连接到第一个触发器的输入,形成一个类似 "圆环" 的形状,因此被称为环形计数器。输入的数据在每个时钟脉冲下移动一格,是串行通信电路的基础电路。

环形计数器是由移位寄存器加上一定的反馈电路构成的,用移位寄存器构成环形计数器的一般框图,它是由一个移位寄存器和一个组合反馈逻辑电路闭环构成,反馈电路的输出接向移位寄存器的串行输入端,反馈电路的输入端根据移位寄存器计数器类型的不同,可接向移位寄存器的串行输出端或某些触发器的输出端。

环形计数器(Ring Counter)

Ⅱ. 实践部分

0x00 移位寄存器(4-bit)

📚 请描述 4 位移位寄存器的结果和仿真过程。用 Verilog 实现 4 位移位寄存器,画出移位寄存器输出表,并在 Verilog 中验证仿真结果。

📃 输出表如下:

💬 Source Code:

`timescale 1ns / 1psmodule SR(input reset,input clk,input x,output[3:0] out
);reg[3:0] out;always @(posedge clk) beginif(reset) beginout[3] <= 1'b0;out[2] <= 1'b0;out[1] <= 1'b0;out[0] <= 1'b0;endelse beginif((x == 1'b1)) beginout[3] <= 1'b1;out[2] <= out[3];out[1] <= out[2];out[0] <= out[1];endelse beginout[3] <= 1'b0;out[2] <= out[3];out[1] <= out[2];out[0] <= out[1];endendendendmodule

💬 Testbench:

`timescale 1ns / 1psmodule SR_tb;reg clk,reset,x;
wire[3:0] out;SR u_SR(.clk(clk ),.reset(reset ),.x(x ),.out(out )
);initial clk = 1'b0;
initial reset = 1'b1;
initial x = 1'b0;always clk = #20 ~clk;always@(reset) beginreset = #30 ~reset;
endalways@(x) beginx = #50 ~x;x = #20 ~x;x = #60 ~x;x = #20 ~x;x = #20 ~x;x = #20 ~x;
endinitial begin#380$finish;
endendmodule

🚩 运行结果如下:

💡 分析:每当时钟发生转换 (clock transition) 时,移位寄存器就会将存储的值向右推进一个空格(LSB 方向),新输入数据的值则存储在左侧(MSB)。该电路采用上升沿触发器 (rising edge trigger) 设计,因此当时钟值从 0 变为 1 时,状态变化就会应用到存储器中。因此,在每次时钟转换时,我们都会执行一个操作,将新输入数据的值存储在 MSB 位,同时执行一个操作,将存储在 4 位存储器中的值向 LSB 位移动一个空格。

0x01 四位环形寄存器(4-bit)

📚 请描述 4 位唤醒寄存器的结果和仿真过程。用 Verilog 实现 4 位环形寄存器,画出移位寄存器输出表,并在 Verilog 中验证仿真结果。

📃 输出表如下:

💬 Source Code:

`timescale 1ns / 1psmodule RC(input reset,input clk,output[3:0] out
);reg[3:0] out = 4'b1000;always @(posedge clk) beginif(reset) beginout[3] <= 1'b0;out[2] <= 1'b0;out[1] <= 1'b0;out[0] <= 1'b0;endelse beginout[3] <= out[0];out[2] <= out[3];out[1] <= out[2];out[0] <= out[1];endendendmodule

💬 Testbench:

`timescale 1ns / 1psmodule RC_tb;reg clk,reset;
wire[3:0] out;RC u_RC(.clk(clk ),.reset(reset ),.out(out )
);initial clk = 1'b0;
initial reset = 1'b0;always clk = #20 ~clk;always@(reset) beginreset = #330 ~reset;reset = #20 ~reset;
endinitial begin#380$finish;
endendmodule

🚩 运行结果如下:

💡 分析:每次时钟转换时,环形计数器都会将存储的数值向右(LSB 方向)推进一个空格。这种行为与移位寄存器类似,只是没有新的输入数据值。不过,对于环形计数器,设计时应使 LSB 的值返回到 MSB,这样内存中存储的四个比特的总值就会循环。电路采用上升沿触发器设计,因此当时钟值从 0 变为 1 时,当前状态的变化将被应用到存储器中。因此,每当时钟转换一次,存储在存储器 4 位中的值就会被推到 LSB 位存储一个空格,但 LSB 位中的值又会被存储到 MSB 位,仿真结果表明,它与真值表一样有效。

📌 [ 笔者 ]   王亦优
📃 [ 更新 ]   2023.11.10
❌ [ 勘误 ]   /* 暂无 */
📜 [ 声明 ]   由于作者水平有限,本文有错误和不准确之处在所难免,本人也很想知道这些错误,恳望读者批评指正!

📜 参考资料 

Introduction to Logic and Computer Design, Alan Marcovitz, McGrawHill, 2008

Microsoft. MSDN(Microsoft Developer Network)[EB/OL]. []. .

百度百科[EB/OL]. []. https://baike.baidu.com/.

这篇关于【FGPA】Verilog:移位寄存器 | 环形计数器 | 4bit移位寄存器的实现 | 4bit环形计数器的实现的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/383118

相关文章

hdu1043(八数码问题,广搜 + hash(实现状态压缩) )

利用康拓展开将一个排列映射成一个自然数,然后就变成了普通的广搜题。 #include<iostream>#include<algorithm>#include<string>#include<stack>#include<queue>#include<map>#include<stdio.h>#include<stdlib.h>#include<ctype.h>#inclu

【C++】_list常用方法解析及模拟实现

相信自己的力量,只要对自己始终保持信心,尽自己最大努力去完成任何事,就算事情最终结果是失败了,努力了也不留遗憾。💓💓💓 目录   ✨说在前面 🍋知识点一:什么是list? •🌰1.list的定义 •🌰2.list的基本特性 •🌰3.常用接口介绍 🍋知识点二:list常用接口 •🌰1.默认成员函数 🔥构造函数(⭐) 🔥析构函数 •🌰2.list对象

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

让树莓派智能语音助手实现定时提醒功能

最初的时候是想直接在rasa 的chatbot上实现,因为rasa本身是带有remindschedule模块的。不过经过一番折腾后,忽然发现,chatbot上实现的定时,语音助手不一定会有响应。因为,我目前语音助手的代码设置了长时间无应答会结束对话,这样一来,chatbot定时提醒的触发就不会被语音助手获悉。那怎么让语音助手也具有定时提醒功能呢? 我最后选择的方法是用threading.Time

Android实现任意版本设置默认的锁屏壁纸和桌面壁纸(两张壁纸可不一致)

客户有些需求需要设置默认壁纸和锁屏壁纸  在默认情况下 这两个壁纸是相同的  如果需要默认的锁屏壁纸和桌面壁纸不一样 需要额外修改 Android13实现 替换默认桌面壁纸: 将图片文件替换frameworks/base/core/res/res/drawable-nodpi/default_wallpaper.*  (注意不能是bmp格式) 替换默认锁屏壁纸: 将图片资源放入vendo

C#实战|大乐透选号器[6]:实现实时显示已选择的红蓝球数量

哈喽,你好啊,我是雷工。 关于大乐透选号器在前面已经记录了5篇笔记,这是第6篇; 接下来实现实时显示当前选中红球数量,蓝球数量; 以下为练习笔记。 01 效果演示 当选择和取消选择红球或蓝球时,在对应的位置显示实时已选择的红球、蓝球的数量; 02 标签名称 分别设置Label标签名称为:lblRedCount、lblBlueCount

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略

Kubernetes PodSecurityPolicy:PSP能实现的5种主要安全策略 1. 特权模式限制2. 宿主机资源隔离3. 用户和组管理4. 权限提升控制5. SELinux配置 💖The Begin💖点点关注,收藏不迷路💖 Kubernetes的PodSecurityPolicy(PSP)是一个关键的安全特性,它在Pod创建之前实施安全策略,确保P

工厂ERP管理系统实现源码(JAVA)

工厂进销存管理系统是一个集采购管理、仓库管理、生产管理和销售管理于一体的综合解决方案。该系统旨在帮助企业优化流程、提高效率、降低成本,并实时掌握各环节的运营状况。 在采购管理方面,系统能够处理采购订单、供应商管理和采购入库等流程,确保采购过程的透明和高效。仓库管理方面,实现库存的精准管理,包括入库、出库、盘点等操作,确保库存数据的准确性和实时性。 生产管理模块则涵盖了生产计划制定、物料需求计划、

C++——stack、queue的实现及deque的介绍

目录 1.stack与queue的实现 1.1stack的实现  1.2 queue的实现 2.重温vector、list、stack、queue的介绍 2.1 STL标准库中stack和queue的底层结构  3.deque的简单介绍 3.1为什么选择deque作为stack和queue的底层默认容器  3.2 STL中对stack与queue的模拟实现 ①stack模拟实现

基于51单片机的自动转向修复系统的设计与实现

文章目录 前言资料获取设计介绍功能介绍设计清单具体实现截图参考文献设计获取 前言 💗博主介绍:✌全网粉丝10W+,CSDN特邀作者、博客专家、CSDN新星计划导师,一名热衷于单片机技术探索与分享的博主、专注于 精通51/STM32/MSP430/AVR等单片机设计 主要对象是咱们电子相关专业的大学生,希望您们都共创辉煌!✌💗 👇🏻 精彩专栏 推荐订阅👇🏻 单片机