异步复位-同步释放(Asynchronous Reset-Synchronous Release)

本文主要是介绍异步复位-同步释放(Asynchronous Reset-Synchronous Release),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

异步复位-同步释放
前言

异步复位,同步释放的理解

这篇博文讲述了异步复位-同步释放的背景,具体实现,非常详细,结合Xilinx官方文档WP272比较有益。

但是看下来仍然有几个问题。

问题
  1. 文档中描述到,采用异步复位-异步释放时,可能出现后续被复位系统中的一部分flip-flops在A时间段内复位释放,从而在本周期的时钟上升沿就回到有效状态;同样,被复位系统中的一部分flip-flops在C时间段内复位释放,从而需要在下一个周期的时钟上升沿才能从复位状态回到有效状态;对于在B时间段内收到复位释放信号的flip-flops来说,由于此时已经不满足建立时间要求,这部分触发器进入亚稳态,状态不稳定,不知道到底是否跳出了复位状态。如下图。

image-20220626153555452

如此,对于在Device端发出的复位释放信号而言,文档描述的意思就是这个信号达到各个flip-flop的时间存在差异,从而导致所有触发器没法在同一个安全的时刻跳出复位。因此,异步复位-同步释放所要解决的问题就是让所有触发器都能够在相同的时钟边沿得到复位释放(也就是释放信号传到各个下级时都是稳定信号,不存在有的是不稳定信号,有的是稳定信号的情况)。

主流描述的实现异步复位-同步释放的方法就是打拍,有的2拍,有的4拍,据华为工程师的说法,1Ghz以内打2拍,以外打3拍,减小出错率,这里以Xilinx文档中打4拍为例。

image-20220626154309491

至于输入端接高电平信号还是接低电平信号,由具体采用的器件厂家决定,Xilinx器件为高电平复位,因此输入端接低电平以实现复位释放。

需要注意的是,打拍后的信号不一定就是设计者期待的电平,因为打拍时若出现了亚稳态,此时采到的是一个不稳定信号,无法准确说它是高电平还是低电平。但是,对于设计来说,后级应该具备兼容打拍时出现的信号并不是期望信号的能力。异步复位同步释放所要解决的问题是,同样一个操作,在不同的时刻进行,应该得到同样的结果,而不是有时候是稳定的,有时候是造成亚稳态。

模拟一个真实的复位再复位释放的状态(Xilinx复位后输出高电平,所以也叫异步置位,同理,复位释放后输出低电平)。

某时刻,Asynchronous Reset信号有效(对于Xilinx为高有效),从而FDP(指异步置位型触发器)四个触发器皆输出高电平,后续FDR(同步复位型触发器)收到高电平信号进入复位状态。注意此时,FDP四个触发器皆输出高电平。

一段时间后,Asynchronous Reset回到低电平,这个动作叫做复位释放,且这个动作是异步的。

如果这个Asynchronous Reset回到低电平的动作恰好出现在不满足建立时间的B时间段内,对于FDP第一级触发器而言,输入D端直接接地,从而其输出有从高电平变低电平的可能性,对于亚稳态来说,也即输出处于一个强度不确定的状态,可能仍处于逻辑1,也可能处于逻辑0,因此如果只有这一级触发器,其输出信号作为复位或复位释放信号接到后续系统是不安全的。

为什么只分析FDP第一级触发器呢?因为对于FDP后续的第2,3,4级触发器而言,在复位状态时其输入信号端口皆为高电平信号,在Asynchronous Reset信号变为低电平时刻,除了第2级触发器的输入信号端可能成为强度不稳定的信号外,这三级触发器的输出状态不会发生变化,仍然是输出稳定的高电平,也正是因为这三级触发器的存在,即便第1级FDP触发器的输出可能是强度不稳定的信号,但是在经过3个周期后,这个不稳定早已经大大减弱或消失了,实际上对于大部分系统而言,亚稳态造成的不稳定时间都维持不了一个周期,第2级触发器采到的信号就已经非常稳定了。

经过4个周期后,输入端的低电平信号传导第4级初发起的输出端,这个就是复位释放信号,而这个信号是与Clock同步的,因而起名为同步释放。

以为这就完了吗?咱的问题还没开始呢,哈哈

上面的解释都是主流解释,但是,细细考虑,回到本心,我们费那么大劲搞出个同步释放为了啥?为了后续系统中所有触发器都能在同一个时刻收到复位信号吗?

如果真是这样的话,我们第一幅图中Reset at Device Pin就已经达到这个目的了,毕竟这个信号只有一个,之所以会出现我们描述的一部分flip-flop在A时间段收到复位释放信号,一部分在B时间段进入亚稳态,一部分在C时间段收到复位释放信号,这都是由于各个flip-flop的Pin脚收到Reset an Device Pin信号时间长短不同导致的。

现在我们好不容易通过打拍电路实现了复位释放信号与时钟沿同步,它与Reset at Device Pin信号唯一的区别就是这个信号是与时钟同步的,但是如果前面提到的各个flip-flop收到复位信号的时间长短的问题仍然存在,即便我们产生了与时钟同步的复位信号又能怎样呢?不照样可能存在一部分落到了A段,一部分进入B段,一部分进入C段的问题吗?

解答:应该说,异步复位,同步释放的目的,确实是为了让后级的触发器能够在同一时刻收到释放信号,而且因为我们前面的分析都是基于理想的情况,实际上由于触发器Tco的存在,这个复位释放信号是可以满足建立时间要求的。在FPGA设计中,布局布线所导致的延时偏差应该是被Tco兼容的,因此,异步复位,同步释放并不是用于解决各个引脚由于延时不同而导致亚稳态的情况,而是为了保证,每一次进行相同的复位操作,复位释放都是满足建立保持时间的,不会是这一次满足,下一次不满足,并且传到下一级触发器的信号都是一致的,从而结果是可以预期的。

  1. 上文中得到的同步释放信号是与时钟同步的,也即同步释放信号处在时钟边沿,这不正好掉到亚稳态的碗里去了吗?
    一种可能的解释是,对于一般的亚稳态情况,均是信号本身的压摆率不够大,时钟边沿采到的是中间的变化,不是一个稳定的信号值,而对于本文设计得到的同步释放信号而言,其与时钟保持完全同步,若认为时钟信号为绝对的压摆率无穷大的跳变,同步释放信号也是压摆率无穷大的跳变,这时触发器接收到的信号也是稳定的。

根据引用:“FDP会进入亚稳态的条件是什么?一是异步释放非常贴近时钟沿,二是 FDP 输入D在时钟沿附近发生跳变。”这里的同步复位释放不符合第一个条件。第二个条件是可能满足的。

解答:因为前面的分析均是理想情况,其实FPGA设计必然存在Tco,这个时间的存在将使得所有操作均满足建立保持时间,异步释放必然延迟于时钟沿Tco时间。

文中的问题笔者均想了好久,可能有不合理之处,望高人予以指正与解答。

解答:问题应该都解释得差不多了,期待后续还有补充。

这篇关于异步复位-同步释放(Asynchronous Reset-Synchronous Release)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/362291

相关文章

基于MySQL Binlog的Elasticsearch数据同步实践

一、为什么要做 随着马蜂窝的逐渐发展,我们的业务数据越来越多,单纯使用 MySQL 已经不能满足我们的数据查询需求,例如对于商品、订单等数据的多维度检索。 使用 Elasticsearch 存储业务数据可以很好的解决我们业务中的搜索需求。而数据进行异构存储后,随之而来的就是数据同步的问题。 二、现有方法及问题 对于数据同步,我们目前的解决方案是建立数据中间表。把需要检索的业务数据,统一放到一张M

服务器集群同步时间手记

1.时间服务器配置(必须root用户) (1)检查ntp是否安装 [root@node1 桌面]# rpm -qa|grep ntpntp-4.2.6p5-10.el6.centos.x86_64fontpackages-filesystem-1.41-1.1.el6.noarchntpdate-4.2.6p5-10.el6.centos.x86_64 (2)修改ntp配置文件 [r

js异步提交form表单的解决方案

1.定义异步提交表单的方法 (通用方法) /*** 异步提交form表单* @param options {form:form表单元素,success:执行成功后处理函数}* <span style="color:#ff0000;"><strong>@注意 后台接收参数要解码否则中文会导致乱码 如:URLDecoder.decode(param,"UTF-8")</strong></span>

maven发布项目到私服-snapshot快照库和release发布库的区别和作用及maven常用命令

maven发布项目到私服-snapshot快照库和release发布库的区别和作用及maven常用命令 在日常的工作中由于各种原因,会出现这样一种情况,某些项目并没有打包至mvnrepository。如果采用原始直接打包放到lib目录的方式进行处理,便对项目的管理带来一些不必要的麻烦。例如版本升级后需要重新打包并,替换原有jar包等等一些额外的工作量和麻烦。为了避免这些不必要的麻烦,通常我们

MySQL主从同步延迟原理及解决方案

概述 MySQL的主从同步是一个很成熟的架构,优点为: ①在从服务器可以执行查询工作(即我们常说的读功能),降低主服务器压力; ②在从主服务器进行备份,避免备份期间影响主服务器服务; ③当主服务器出现问题时,可以切换到从服务器。 相信大家对于这些好处已经非常了解了,在项目的部署中也采用这种方案。但是MySQL的主从同步一直有从库延迟的问题,那么为什么会有这种问题。这种问题如何解决呢? MyS

AsyncTask 异步任务解析

1:构建AsyncTask 子类的回调方法: A:doInBackground:   必须重写,所有的耗时操作都在这个里面进行; B: onPreExecute:     用户操作数据前的调用; 例如:显示一个进度条 等 ; C: onPostExecute:    当doInBackground 执行完成后;会自动把数据传给onPostExecute方法;也就是说:这个方法是处理返回的数据的方法

使用条件变量实现线程同步:C++实战指南

使用条件变量实现线程同步:C++实战指南 在多线程编程中,线程同步是确保程序正确性和稳定性的关键。条件变量(condition variable)是一种强大的同步原语,用于在线程之间进行协调,避免数据竞争和死锁。本文将详细介绍如何在C++中使用条件变量实现线程同步,并提供完整的代码示例和详细的解释。 什么是条件变量? 条件变量是一种同步机制,允许线程在某个条件满足之前进入等待状态,并在条件满

mysql创建新表,同步数据

import os import argparse import glob import cv2 import numpy as np import onnxruntime import tqdm import pymysql import time import json from datetime import datetime os.environ[“CUDA_VISIBLE_DEVICE

三.海量数据实时分析-FlinkCDC实现Mysql数据同步到Doris

FlinkCDC 同步Mysql到Doris 参考:https://nightlies.apache.org/flink/flink-cdc-docs-release-3.0/zh/docs/get-started/quickstart/mysql-to-doris/ 1.安装Flink 下载 Flink 1.18.0,下载后把压缩包上传到服务器,使用tar -zxvf flink-xxx-

Gerrit与Gitlab同步配置replication其他配置

一、Gerrit与Gitlab同步配置 当配置好gerrit环境后,还需要与现有gitlab库进行同步配置,否则会影响现有开发与打包流程。 1.安装gerrit replication插件 unzip gerrit.warcp WEB-INF/plugins/replication.jar ~/temp/ssh -p 29418 admin@172.19.16.64 gerrit plu