UVM:callback机制的意义和用法

2024-09-09 04:04

本文主要是介绍UVM:callback机制的意义和用法,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

1. 作用

        Callback机制在UVM验证平台,最大用处就是为了提高验证平台的可重用性。在不创建复杂的OOP层次结构前提下,针对组件中的某些行为,在其之前后之后,内置一些函数,增加或者修改UVM组件的操作,增加新的功能,从而实现一个环境多个用例。此外还可以通过Callback机制构建异常的测试用例。

2. 使用步骤

        (1)在UVM组件中内嵌callback函数或任务;

        (2)声明一个UVM callback空壳类;

        (3)从UVM callback空壳类中扩展UVM callback类;

        (4)在验证环境中创建并登记UVM callback实例。

3. callback实现举例

        第一步首先声明一个空壳类,注意所有的方法都声明为virtual,函数体为空

class driver_callback extends uvm_callback;`uvm_object_utils(driver_callback)function new (string name = "driver_callback");super.new(name);endfunctionvirtual task pre_drive (driver drv, transaction tr);endtask      virtual task post_drive (driver drv, transaction tr);endtask    
endclass

        第二步,在主操作函数、任务之前或之后嵌入callback函数:

typedef class driver_callback;      //类的预定义,以便后续提前使用未定义的driver类class driver extends uvm_driver#(transaction);     //嵌入Callback函数`uvm_register_cb(driver, driver_callback);   //在组件中登记UVM_callback...virtual task run_phase(uvm_phase phase);forever beginseq_item_port.get_next_item(req);      //申请数据发送`uvm_do_callbacks(driver,driver_callback,pre_drive(this,req)); //在数据处理前,使用宏`uvm_do_callbacks嵌入Callback函数或者任务drive(req);          `uvm_do_callbacks(driver,driver_callback,post_drive(this,req)); //在数据处理后,使用宏`uvm_do_callbacks嵌入Callback函数或者任务seq_item_port.item_done();     endendtaskendclass

        使用宏`uvm_register_cb在组件中对callback类进行注册;

        使用宏`uvm_do_callbacks在具体行为前后嵌入callback函数或者任务。

        第三步,编写具体的callback函数内容:

class driver_error_callback extends driver_callback; //继承Callback空壳类,实现覆盖`uvm_object_utils(driver_error_callback)function new(string name="driver_error_callback");super.new(name);endfunctionvirtual task pre_drive(driver drv, transaction tr);drv.req.payload.delete();         //在主函数操作前注入错误endtaskvirtual task post_drive(driver drv, transaction tr);`uvm_info("DRIVER_ERROR_CALLBACK","Inside post_drive method",UVM_LOW);  //在主函数操作之后,打印endtask     endclass

        最后一步,在测试案例中创建并登记callback的实例:

class driver_err_test extends test_base;  //在测试用例中创建对象并登记`uvm_component_utils(driver_err_test)function new(string name = "driver_err_test", uvm_component parent=null);super.new(name,parent);endfunction…………driver_error_callback  drv_err_cb;    //声明句柄function void build_phase(uvm_phase phase);super.build_phase(phase);drv_err_cb = driver_error_callback_callback::type_id::create("callback_1", this);     //创建Callback实例uvm_callbacks #(driver,driver_callback)::add(env.agt.drv, drv_err_cb);    //调用add()方法将回调类添加到testuvm_callbacks #(driver,driver_callback)::display();   //显示并打印登记信息用于调式endfunction
endclass

         需要在测试用例中创建和例化callback对象;并调用uvm_callbacks #(T, CB) :: add(t,cb)将callback对象添加到test。

最后在编译调试时,添加选项+UVM_CB_TRACE_ON即可。

这篇关于UVM:callback机制的意义和用法的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1150105

相关文章

JavaScript中的reduce方法执行过程、使用场景及进阶用法

《JavaScript中的reduce方法执行过程、使用场景及进阶用法》:本文主要介绍JavaScript中的reduce方法执行过程、使用场景及进阶用法的相关资料,reduce是JavaScri... 目录1. 什么是reduce2. reduce语法2.1 语法2.2 参数说明3. reduce执行过程

Python itertools中accumulate函数用法及使用运用详细讲解

《Pythonitertools中accumulate函数用法及使用运用详细讲解》:本文主要介绍Python的itertools库中的accumulate函数,该函数可以计算累积和或通过指定函数... 目录1.1前言:1.2定义:1.3衍生用法:1.3Leetcode的实际运用:总结 1.1前言:本文将详

MyBatis-Flex BaseMapper的接口基本用法小结

《MyBatis-FlexBaseMapper的接口基本用法小结》本文主要介绍了MyBatis-FlexBaseMapper的接口基本用法小结,文中通过示例代码介绍的非常详细,对大家的学习或者工作具... 目录MyBATis-Flex简单介绍特性基础方法INSERT① insert② insertSelec

Spring排序机制之接口与注解的使用方法

《Spring排序机制之接口与注解的使用方法》本文介绍了Spring中多种排序机制,包括Ordered接口、PriorityOrdered接口、@Order注解和@Priority注解,提供了详细示例... 目录一、Spring 排序的需求场景二、Spring 中的排序机制1、Ordered 接口2、Pri

MySQL 缓存机制与架构解析(最新推荐)

《MySQL缓存机制与架构解析(最新推荐)》本文详细介绍了MySQL的缓存机制和整体架构,包括一级缓存(InnoDBBufferPool)和二级缓存(QueryCache),文章还探讨了SQL... 目录一、mysql缓存机制概述二、MySQL整体架构三、SQL查询执行全流程四、MySQL 8.0为何移除查

深入解析Spring TransactionTemplate 高级用法(示例代码)

《深入解析SpringTransactionTemplate高级用法(示例代码)》TransactionTemplate是Spring框架中一个强大的工具,它允许开发者以编程方式控制事务,通过... 目录1. TransactionTemplate 的核心概念2. 核心接口和类3. TransactionT

数据库使用之union、union all、各种join的用法区别解析

《数据库使用之union、unionall、各种join的用法区别解析》:本文主要介绍SQL中的Union和UnionAll的区别,包括去重与否以及使用时的注意事项,还详细解释了Join关键字,... 目录一、Union 和Union All1、区别:2、注意点:3、具体举例二、Join关键字的区别&php

一文详解Java Condition的await和signal等待通知机制

《一文详解JavaCondition的await和signal等待通知机制》这篇文章主要为大家详细介绍了JavaCondition的await和signal等待通知机制的相关知识,文中的示例代码讲... 目录1. Condition的核心方法2. 使用场景与优势3. 使用流程与规范基本模板生产者-消费者示例

一文带你理解Python中import机制与importlib的妙用

《一文带你理解Python中import机制与importlib的妙用》在Python编程的世界里,import语句是开发者最常用的工具之一,它就像一把钥匙,打开了通往各种功能和库的大门,下面就跟随小... 目录一、python import机制概述1.1 import语句的基本用法1.2 模块缓存机制1.

Redis主从/哨兵机制原理分析

《Redis主从/哨兵机制原理分析》本文介绍了Redis的主从复制和哨兵机制,主从复制实现了数据的热备份和负载均衡,而哨兵机制可以监控Redis集群,实现自动故障转移,哨兵机制通过监控、下线、选举和故... 目录一、主从复制1.1 什么是主从复制1.2 主从复制的作用1.3 主从复制原理1.3.1 全量复制