FPGA之JESD204B接口——总体概要 实例 中

2024-08-31 08:58

本文主要是介绍FPGA之JESD204B接口——总体概要 实例 中,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

1、AD9174配置
本设计采用AD9174,其是一款高性能、双通道、16位数模转换器(DAC),支持高达12.6 GSPS的DAC采样速率。该器件具有8通道、15.4 Gbps JESD204B数据输入端口、高性能片内DAC时钟倍频器和数字信号处理功能,适合单频段和多频段直接至射频(RF)无线应用,本设计要求
(1)200M用户数据,通道内插x6,主路径内插x8,总共48倍内插,实现fs=9.6GHz;
(2)输入设备时钟频率为device clk=9600/16=600MHz,同时设备参考时钟=9600/4/348=6.25M;
(3)JESD204B参数配置为:L=1、M=2、F=4、S=1、N=16、N’=16、K=32;
(4)根据MFSL计算lane rate = (MSNFc10/8)/L=8Gbps,jesd coreclk=lane rate/40=200MHz;
(5)配置NCO = 3.8GHz;

在这里插入图片描述
2、HMC7044时钟配置
本设计采用的HMC7044是一款高性能双环路整数N分频抖动衰减器,能够选择参考并生成超低相位噪声的频率,支持配有并行或串行(JESD204B型)接口的高速数据转换器。其VCO范围为2150MHz ~ 2880MHz、2650MHz ~ 3550MHz。本设计采用外供时钟+PLL2的模式,本方案中的DAC采样率为9.6G,设计让DAC外部提供600M时钟,考虑到一般锁相环鉴相频率越高输出时钟相位噪声会好一些,因此hmc7044和DAC内部锁相环R分频都设置为1,7044输出600M送到DAC,再通过DAC内部锁相环倍频16倍实现9.6G采样。
内部配置如下图所示,总共实现四路时钟输出,分别为DAC device clk =3000M(VCO)/5= 600MHz、两路JESD sysref clk=3000M(VCO)/480 = 6.25M(供给DAC和FPGA)、jesd coreclk = lane rate/40=200M;

在这里插入图片描述 时钟分配如图所示:
(1)参考时钟为外供100MHz时钟。
(2)需输出1路DAC所需600MHz时钟至DAC内部PLL进行倍频。
(3)需输出1路FPGA GTH工作所需的参考时钟200MHz,同时也可以作为jesd coreclk。
(4)需输出2路JESD204B接口工作所需的SYSREF,时钟频率为6.25MHz。

在这里插入图片描述

这篇关于FPGA之JESD204B接口——总体概要 实例 中的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1123452

相关文章

【机器学习】高斯过程的基本概念和应用领域以及在python中的实例

引言 高斯过程(Gaussian Process,简称GP)是一种概率模型,用于描述一组随机变量的联合概率分布,其中任何一个有限维度的子集都具有高斯分布 文章目录 引言一、高斯过程1.1 基本定义1.1.1 随机过程1.1.2 高斯分布 1.2 高斯过程的特性1.2.1 联合高斯性1.2.2 均值函数1.2.3 协方差函数(或核函数) 1.3 核函数1.4 高斯过程回归(Gauss

poj 2976 分数规划二分贪心(部分对总体的贡献度) poj 3111

poj 2976: 题意: 在n场考试中,每场考试共有b题,答对的题目有a题。 允许去掉k场考试,求能达到的最高正确率是多少。 解析: 假设已知准确率为x,则每场考试对于准确率的贡献值为: a - b * x,将贡献值大的排序排在前面舍弃掉后k个。 然后二分x就行了。 代码: #include <iostream>#include <cstdio>#incl

C++操作符重载实例(独立函数)

C++操作符重载实例,我们把坐标值CVector的加法进行重载,计算c3=c1+c2时,也就是计算x3=x1+x2,y3=y1+y2,今天我们以独立函数的方式重载操作符+(加号),以下是C++代码: c1802.cpp源代码: D:\YcjWork\CppTour>vim c1802.cpp #include <iostream>using namespace std;/*** 以独立函数

实例:如何统计当前主机的连接状态和连接数

统计当前主机的连接状态和连接数 在 Linux 中,可使用 ss 命令来查看主机的网络连接状态。以下是统计当前主机连接状态和连接主机数量的具体操作。 1. 统计当前主机的连接状态 使用 ss 命令结合 grep、cut、sort 和 uniq 命令来统计当前主机的 TCP 连接状态。 ss -nta | grep -v '^State' | cut -d " " -f 1 | sort |

Java 后端接口入参 - 联合前端VUE 使用AES完成入参出参加密解密

加密效果: 解密后的数据就是正常数据: 后端:使用的是spring-cloud框架,在gateway模块进行操作 <dependency><groupId>com.google.guava</groupId><artifactId>guava</artifactId><version>30.0-jre</version></dependency> 编写一个AES加密

Java Websocket实例【服务端与客户端实现全双工通讯】

Java Websocket实例【服务端与客户端实现全双工通讯】 现很多网站为了实现即时通讯,所用的技术都是轮询(polling)。轮询是在特定的的时间间隔(如每1秒),由浏览器对服务器发 出HTTP request,然后由服务器返回最新的数据给客服端的浏览器。这种传统的HTTP request 的模式带来很明显的缺点 – 浏 览器需要不断的向服务器发出请求,然而HTTP

java线程深度解析(一)——java new 接口?匿名内部类给你答案

http://blog.csdn.net/daybreak1209/article/details/51305477 一、内部类 1、内部类初识 一般,一个类里主要包含类的方法和属性,但在Java中还提出在类中继续定义类(内部类)的概念。 内部类的定义:类的内部定义类 先来看一个实例 [html]  view plain copy pu

828华为云征文|华为云Flexus X实例docker部署rancher并构建k8s集群

828华为云征文|华为云Flexus X实例docker部署rancher并构建k8s集群 华为云最近正在举办828 B2B企业节,Flexus X实例的促销力度非常大,特别适合那些对算力性能有高要求的小伙伴。如果你有自建MySQL、Redis、Nginx等服务的需求,一定不要错过这个机会。赶紧去看看吧! 什么是华为云Flexus X实例 华为云Flexus X实例云服务是新一代开箱即用、体

模拟实现vector中的常见接口

insert void insert(iterator pos, const T& x){if (_finish == _endofstorage){int n = pos - _start;size_t newcapacity = capacity() == 0 ? 2 : capacity() * 2;reserve(newcapacity);pos = _start + n;//防止迭代

京东物流查询|开发者调用API接口实现

快递聚合查询的优势 1、高效整合多种快递信息。2、实时动态更新。3、自动化管理流程。 聚合国内外1500家快递公司的物流信息查询服务,使用API接口查询京东物流的便捷步骤,首先选择专业的数据平台的快递API接口:物流快递查询API接口-单号查询API - 探数数据 以下示例是参考的示例代码: import requestsurl = "http://api.tanshuapi.com/a