ZYNQ 7020 学习记录-1点灯

2024-08-21 08:44
文章标签 zynq 7020 点灯 记录 学习

本文主要是介绍ZYNQ 7020 学习记录-1点灯,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

系列文章目录

1.点灯

文章目录

  • 系列文章目录
  • 前言
  • 一、ZYNQ是什么?
  • 二、FPGA开发流程
    • 1.流程图
    • 2.工程管理
    • 3.需求分析
    • 4.系统框图
    • 5.编写RTL代码
    • 6.Modelsim 手动仿真
    • 7.Vivado工程
  • 总结


前言

由于研究生课题组所研制的设备HIGH-POWER SHM SYSTEM是基于ZYNQ的,以下是本人自学ZYNQ的学习记录,所用板卡为:基于 XILINX ZYNQ7000 开发平台的开发板(型号:AX7021B) 2022 款。
在这里插入图片描述

一、ZYNQ是什么?

1.FPGA
现场可编程门阵列,是一种以数字电路为主的集成电路芯片,属于可编程逻辑器件。
2.单片机和FPGA
在这里插入图片描述
3.Soc
直译是“芯片级系统”,通常简称“片上系统”。,把各种元件整合到一个芯片上。
4.ZYNQ
全可编程片上系统,是一款基于ARM处理器的SoC

二、FPGA开发流程

1.流程图

在这里插入图片描述

2.工程管理

在这里插入图片描述

1.开发过程中使用的辅助文档文件(如绘图软件绘制的波形图文件等),该类文件存放的文件夹我们
命名为 doc;
2.新建工程及产生的文件,该类文件存放的文件夹我们命名为prj;
3.开发过程中的 RTL 代码文件,该类文件存放的文件夹我们命名为 rtl;
4.仿真工程与仿真文件,该类文件存放的文件夹我们命名为sim;
下面我们以点亮 led 灯为例,新建的工程文件管理模板截图如下所示。因为我们使用的 FPGA 开发工具
对工程的路径比较敏感,所以工程路径除了英文、数字以及下划线等,不要出现中文或者其它特殊字符,否则 FPGA 开发工具无法识别工程路径。

3.需求分析

按下按键,灯就亮。

4.系统框图

在这里插入图片描述
查阅资料发现,按键KEY2 连接到 ZYNQ 芯片 PL 的 IO 管脚上。按键按下,信号为低, ZYNQ 芯片就是
检测到低电平来判断按键是否按下。
在这里插入图片描述
查阅资料发现,当连接用户 LED 灯的 IO电压为高时,用户 LED 灯熄灭,当连接 IO 电压为低时,用户 LED 会被点亮。
在这里插入图片描述
于是我们可以得出以下结论:
在这里插入图片描述
在这里插入图片描述

5.编写RTL代码

led.v

module led(input   key,    //输入按键,默认高电平;//ZYNQ 引脚名:B35_L9_N;ZYNQ 引脚号:A17output  led     //输出led,低电平点亮//ZYNQ 引脚名:B35_L9_P;ZYNQ 引脚号:A16);assign led = key;endmodule

6.Modelsim 手动仿真

编写TestBench,用来验证功能模块的设计是否符合预期:
1.向被测功能模块的输入接口添加激励;
2.例化;
3.判断输出是否满足预期。

`timescale 1ns/1ns      //单位/精度module tb_led();reg     key;
wire    led;initial beginkey <= 1'b1;#200key <= 1'b0;#500key <= 1'b1; #1000    key <= 1'b0;#1000key <= 1'b1;
endled u_led(.key    (key),.led    (led));endmodule

一般输入信号定义为寄存器类型(reg),一般输出信号定义为线型(wire)。
编译:
在这里插入图片描述
仿真设置:
在这里插入图片描述
仿真结果与代码所书写表现一致:
在这里插入图片描述

7.Vivado工程

1.新建工程
在这里插入图片描述
在这里插入图片描述
增添编写好的rtl文件:
在这里插入图片描述

在这里插入图片描述
器件选型,我使用的板卡为:xc7z020clg484-2
在这里插入图片描述
2.分析与综合
在这里插入图片描述
I/O分配:
(引脚约束是将‌FPGA模块的I/O端口与FPGA芯片的物理I/O引脚进行连接的过程。通过引脚约束,可以确保设计在FPGA上的正确实现,避免因引脚分配不当导致的时序问题或功能错误。‌)
在这里插入图片描述
这里根据查阅引脚图,可以看到我使用的板卡key的引脚为A17,led灯的引脚为A16,且两者的供电电压均为3.3V
故配置管脚的电平标准为:LVCMOS33
在这里插入图片描述
保存后生成管脚约束文件:
在这里插入图片描述
在这里插入图片描述
进行综合:
在这里插入图片描述
在这里插入图片描述
综合后的原理图:
在这里插入图片描述
3.设计与实现
在这里插入图片描述
可以看见引脚已经有了映射:
在这里插入图片描述
4.下载验证
生成比特流文件:
在这里插入图片描述
在这里插入图片描述
将板卡如下图插入JTAG下载口,并连接到电脑:
在这里插入图片描述
点击连接:
在这里插入图片描述
在这里插入图片描述
烧录:
在这里插入图片描述
完结:
(学完车手真的变得好黑)
在这里插入图片描述

总结

以上就是今天要讲的内容,本文仅仅简单XILINX ZYNQ7020的使用,如有任何问题请发送邮件至:zelinliu@nuaa.edu.cn

这篇关于ZYNQ 7020 学习记录-1点灯的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1092671

相关文章

HarmonyOS学习(七)——UI(五)常用布局总结

自适应布局 1.1、线性布局(LinearLayout) 通过线性容器Row和Column实现线性布局。Column容器内的子组件按照垂直方向排列,Row组件中的子组件按照水平方向排列。 属性说明space通过space参数设置主轴上子组件的间距,达到各子组件在排列上的等间距效果alignItems设置子组件在交叉轴上的对齐方式,且在各类尺寸屏幕上表现一致,其中交叉轴为垂直时,取值为Vert

Ilya-AI分享的他在OpenAI学习到的15个提示工程技巧

Ilya(不是本人,claude AI)在社交媒体上分享了他在OpenAI学习到的15个Prompt撰写技巧。 以下是详细的内容: 提示精确化:在编写提示时,力求表达清晰准确。清楚地阐述任务需求和概念定义至关重要。例:不用"分析文本",而用"判断这段话的情感倾向:积极、消极还是中性"。 快速迭代:善于快速连续调整提示。熟练的提示工程师能够灵活地进行多轮优化。例:从"总结文章"到"用

【前端学习】AntV G6-08 深入图形与图形分组、自定义节点、节点动画(下)

【课程链接】 AntV G6:深入图形与图形分组、自定义节点、节点动画(下)_哔哩哔哩_bilibili 本章十吾老师讲解了一个复杂的自定义节点中,应该怎样去计算和绘制图形,如何给一个图形制作不间断的动画,以及在鼠标事件之后产生动画。(有点难,需要好好理解) <!DOCTYPE html><html><head><meta charset="UTF-8"><title>06

学习hash总结

2014/1/29/   最近刚开始学hash,名字很陌生,但是hash的思想却很熟悉,以前早就做过此类的题,但是不知道这就是hash思想而已,说白了hash就是一个映射,往往灵活利用数组的下标来实现算法,hash的作用:1、判重;2、统计次数;

零基础学习Redis(10) -- zset类型命令使用

zset是有序集合,内部除了存储元素外,还会存储一个score,存储在zset中的元素会按照score的大小升序排列,不同元素的score可以重复,score相同的元素会按照元素的字典序排列。 1. zset常用命令 1.1 zadd  zadd key [NX | XX] [GT | LT]   [CH] [INCR] score member [score member ...]

【机器学习】高斯过程的基本概念和应用领域以及在python中的实例

引言 高斯过程(Gaussian Process,简称GP)是一种概率模型,用于描述一组随机变量的联合概率分布,其中任何一个有限维度的子集都具有高斯分布 文章目录 引言一、高斯过程1.1 基本定义1.1.1 随机过程1.1.2 高斯分布 1.2 高斯过程的特性1.2.1 联合高斯性1.2.2 均值函数1.2.3 协方差函数(或核函数) 1.3 核函数1.4 高斯过程回归(Gauss

【学习笔记】 陈强-机器学习-Python-Ch15 人工神经网络(1)sklearn

系列文章目录 监督学习:参数方法 【学习笔记】 陈强-机器学习-Python-Ch4 线性回归 【学习笔记】 陈强-机器学习-Python-Ch5 逻辑回归 【课后题练习】 陈强-机器学习-Python-Ch5 逻辑回归(SAheart.csv) 【学习笔记】 陈强-机器学习-Python-Ch6 多项逻辑回归 【学习笔记 及 课后题练习】 陈强-机器学习-Python-Ch7 判别分析 【学

系统架构师考试学习笔记第三篇——架构设计高级知识(20)通信系统架构设计理论与实践

本章知识考点:         第20课时主要学习通信系统架构设计的理论和工作中的实践。根据新版考试大纲,本课时知识点会涉及案例分析题(25分),而在历年考试中,案例题对该部分内容的考查并不多,虽在综合知识选择题目中经常考查,但分值也不高。本课时内容侧重于对知识点的记忆和理解,按照以往的出题规律,通信系统架构设计基础知识点多来源于教材内的基础网络设备、网络架构和教材外最新时事热点技术。本课时知识

线性代数|机器学习-P36在图中找聚类

文章目录 1. 常见图结构2. 谱聚类 感觉后面几节课的内容跨越太大,需要补充太多的知识点,教授讲得内容跨越较大,一般一节课的内容是书本上的一章节内容,所以看视频比较吃力,需要先预习课本内容后才能够很好的理解教授讲解的知识点。 1. 常见图结构 假设我们有如下图结构: Adjacency Matrix:行和列表示的是节点的位置,A[i,j]表示的第 i 个节点和第 j 个

Node.js学习记录(二)

目录 一、express 1、初识express 2、安装express 3、创建并启动web服务器 4、监听 GET&POST 请求、响应内容给客户端 5、获取URL中携带的查询参数 6、获取URL中动态参数 7、静态资源托管 二、工具nodemon 三、express路由 1、express中路由 2、路由的匹配 3、路由模块化 4、路由模块添加前缀 四、中间件