关于FPGA的浮点数处理 II

2024-06-22 07:44
文章标签 浮点数 fpga ii 处理

本文主要是介绍关于FPGA的浮点数处理 II,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

关于FPGA的浮点数处理 II

语言 :Verilg HDL 、VHDL
EDA工具:ISE、Vivado、Quartus II

      • 关于FPGA的浮点数处理 II
      • 一、引言
      • 二、浮点数运算的FPGA实现
        • 1. 有符号数整数转单精度浮点数
          • (1)实现代码(Int2Fp模块)
          • (2)代码分析
        • 2. 单精度浮点数转有符号数整数
          • (1)实现代码(Fp2Int模块)
          • (2)代码分析
        • 三、结尾

  • 关键词: 调用,浮点数处理,floating point

一、引言

在FPGA中实现浮点运算是一个复杂但有用的过程,因为浮点运算在许多应用中都是必需的,如数字信号处理、图像处理和科学计算等,上篇博客中介绍了在FPGA中使用浮点数运算的背景以及实现方式,传送链接:0315 FPGA的浮点数处理 I,本篇博客注重描述浮点数在FPGA中如何将有符号整数与单精度浮点数进行转换。

二、浮点数运算的FPGA实现

1. 有符号数整数转单精度浮点数
(1)实现代码(Int2Fp模块)
 module Int2Fp(input signed [15:0]	iInteger,output[26:0]	oA		);// output fieldswire        A_s;wire [7:0]  A_e;wire [17:0] A_f;wire [15:0] abs_input ;// get output sign bitassign A_s = (iInteger < 0);// remove sign from inputassign abs_input = (iInteger < 0)? -iInteger : iInteger ;// find the most significant (nonzero) bitwire [7:0]  shft_amt;assign shft_amt = abs_input[15] ? 8'd3 :abs_input[14] ? 8'd4 : abs_input[13] ? 8'd5 :abs_input[12] ? 8'd6 : abs_input[11] ? 8'd7 :abs_input[10] ? 8'd8 : abs_input[9]  ? 8'd9 :abs_input[8]  ? 8'd10 : abs_input[7]  ? 8'd11 :abs_input[6]  ? 8'd12 : abs_input[5]  ? 8'd13 :abs_input[4]  ? 8'd14 : abs_input[3]  ? 8'd15 :abs_input[2]  ? 8'd16 : abs_input[1]  ? 8'd17 :abs_input[0]  ? 8'd18 : 8'd19;	// exponent 127 + (18-shift_amt)// 127 is 2^0// 18 is amount '1' is shiftedassign A_e = 127 + 18 - shft_amt ;// where the intermediate value is formedwire [33:0] shift_buffer ;// remember that the high-order '1' is not stored,// but is shifted to bit 18assign shift_buffer = {16'b0, abs_input} << shft_amt ;assign A_f = shift_buffer[17:0];assign oA = (iInteger==0)? 27'b0 : {A_s, A_e, A_f};endmodule //Int2Fp
(2)代码分析

Int2Fp模块的作用是将一个16位的有符号整数(iInteger)转换为一个27位的浮点数(oA)。这个转换遵循IEEE 754标准的单精度浮点数格式,其中包含符号位(1位)、指数位(8位)和尾数位(或称为小数位,17位)。

对代码分析:

1、模块声明: 定义了模块名Int2Fp,输入输出端口。
2、输入输出定义: iInteger是一个16位有符号整数,oA是一个27位的输出,包含符号位、指数位和尾数位。

3、内部信号定义: 定义了用于中间计算的wire类型信号A_s(符号位)、A_e(指数位)和A_f(尾数位)。

4、绝对值计算: 通过比较iInteger与0,计算其绝对值abs_input。

5、符号位赋值: A_s根据iInteger的符号确定,如果iInteger是负数,则A_s为1,否则为0。

6、指数位计算: 通过查找abs_input中最显著的非零位,计算出需要左移的位数shft_amt。然后根据这个位数计算出指数位A_e,公式为127 + 18 - shft_amt,其中127是偏移值,18是因为尾数位是17位,加上隐含的1位,共18位。

7、尾数位计算: 首先构造了一个34位的shift_buffer,将abs_input左移shft_amt位,然后取低17位作为尾数A_f。

8、输出赋值: 如果输入iInteger为0,则输出oA为0;否则,将符号位、指数位和尾数位组合起来赋值给oA。

这个模块实现了整数到浮点数的转换,但需要注意的是,这个转换并没有考虑特殊情况,比如输入为0时,指数位和尾数位应该如何处理,以及如何处理溢出或非规格化数的情况。此外,这个实现也没有包括舍入逻辑,这在实际的浮点数运算中是非常重要的。

2. 单精度浮点数转有符号数整数
(1)实现代码(Fp2Int模块)
module Fp2Int(input	 [26:0]	iA,output reg [15:0]	oInteger);// Extract fields of A and B.wire        A_s;wire [7:0]  A_e;wire [17:0] A_f;assign A_s = iA[26];assign A_e = iA[25:18];assign A_f = iA[17:0];wire [15:0] max_int = 16'h7fff ; //32768wire [33:0] shift_buffer ;// form (1.A_f) and shift it to postiionassign shift_buffer = {15'b0, 1'b1, A_f}<<(A_e-127) ;// If exponent less than 127, oInteger=0// If exponent greater than 127+14 oInteger=max value// Between these two values://	set up input mantissa with 1.mantissa //	   and the "1." in the lowest bit of an extended word.// 	shift-left by A_e-127// If the sign bit is set, negate oIntegeralways @(*) beginif (A_e < 127) oInteger = 16'b0;else if (A_e > 141) beginif (A_s) oInteger = -max_int;else     oInteger = max_int;endelse beginif (A_s) oInteger = -shift_buffer[33:18];else     oInteger = shift_buffer[33:18];endendendmodule //Fp2Int
(2)代码分析

Fp2Int的模块,它的作用是将一个27位的浮点数(iA)转换为一个16位的有符号整数(oInteger)。这个转换同样遵循IEEE 754标准的单精度浮点数格式。
对代码分析:

1、模块声明: 定义了模块名Fp2Int,输入输出端口。

2、输入输出定义:iA是一个27位的浮点数输入,oInteger是一个16位的有符号整数输出。

33、信号提取: 从iA中提取符号位A_s、指数位A_e和尾数位A_f。

4、最大整数值定义: 定义了一个16位的最大正整数max_int。

5、中间变量定义: 定义了一个34位的shift_buffer,用于存储转换后的整数。

6、浮点数到整数的转换: 构造了一个中间值(1.A_f),然后根据指数位A_e和偏移量127进行左移操作,得到shift_buffer。

7、条件判断:
如果指数位A_e小于127,表示浮点数的值小于1,整数输出为0。
如果指数位A_e大于127加上15(因为16位整数可以表示的数值范围是-32768到32767),则根据符号位A_s,设置整数输出为最大正整数或其负数。
如果指数位在127和127+15之间,将shift_buffer的低16位赋值给整数输出,根据符号位A_s决定是正数还是负数。
**8、always块:**使用always块来描述浮点数到整数的转换逻辑。

这个模块实现了浮点数到整数的转换,但同样没有考虑特殊情况,比如当指数位等于127且尾数位非零时,应该如何处理。此外,这个实现也没有包括舍入逻辑,这在实际的浮点数运算中是非常重要的。

需要注意的是,代码中有几个潜在的问题:

shift_buffer的左移操作可能会导致溢出,因为当A_e大于127时,左移操作可能会超过34位。
当A_e等于127时,需要检查尾数位A_f是否为0,以确定是否应该舍入。
当A_e大于127且小于141时,需要考虑舍入规则,以确定最终的整数输出。

三、结尾

本篇博客注重描述浮点数在FPGA中如何将有符号整数与单精度浮点数进行转换。**这两个Verilog代码模块分别实现了整数到浮点数以及浮点数到整数的转换。**第一个模块Int2Fp接受一个16位有符号整数作为输入,将其转换为符合IEEE 754标准的27位单精度浮点数输出,包括符号位、指数和尾数。第二个模块Fp2Int则执行相反的操作,它将27位单精度浮点数作为输入,转换为16位有符号整数作为输出。在转换过程中,两个模块都考虑了正负符号、指数偏移以及尾数的位移,但都没有实现舍入逻辑,这在实际应用中是必要的,以确保数值的准确性和避免溢出。下一篇文章将继续在此基础上描述单精度浮点数乘、加、开方、绝对值等操作。

这篇关于关于FPGA的浮点数处理 II的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1083685

相关文章

百度OCR识别结构结构化处理视频

https://edu.csdn.net/course/detail/10506

DDS信号的发生器(验证篇)——FPGA学习笔记8

前言:第一部分详细讲解DDS核心框图,还请读者深入阅读第一部分,以便理解DDS核心思想 三刷小梅哥视频总结! 小梅哥https://www.corecourse.com/lander 一、DDS简介         DDS(Direct Digital Synthesizer)即数字合成器,是一种新型的频率合成技术,具有低成本、低功耗、高分辨率、频率转换时间短、相位连续性好等优点,对数字信

如何在Java中处理JSON数据?

如何在Java中处理JSON数据? 大家好,我是免费搭建查券返利机器人省钱赚佣金就用微赚淘客系统3.0的小编,也是冬天不穿秋裤,天冷也要风度的程序猿!今天我们将探讨在Java中如何处理JSON数据。JSON(JavaScript Object Notation)作为一种轻量级的数据交换格式,在现代应用程序中被广泛使用。Java通过多种库和API提供了处理JSON的能力,我们将深入了解其用法和最佳

[FPGA][基础模块]跨时钟域传播脉冲信号

clk_a 周期为10ns clk_b 周期为34ns 代码: module pulse(input clk_a,input clk_b,input signal_a,output reg signal_b);reg [4:0] signal_a_widen_maker = 0;reg signal_a_widen;always @(posedge clk_a)if(signal_a)

AI学习指南机器学习篇-朴素贝叶斯处理连续特征和离散特征

AI学习指南机器学习篇-朴素贝叶斯处理连续特征和离散特征 在机器学习领域,朴素贝叶斯是一种常用的分类算法,它的简单性和高效性使得它在实际应用中得到了广泛的应用。然而,在使用朴素贝叶斯算法进行分类时,我们通常会面临一个重要的问题,就是如何处理连续特征和离散特征。因为朴素贝叶斯算法基于特征的条件独立性假设,所以对于不同类型的特征,我们需要采取不同的处理方式。 在本篇博客中,我们将探讨如何有效地处理

神经网络第四篇:推理处理之手写数字识别

到目前为止,我们已经介绍完了神经网络的基本结构,现在用一个图像识别示例对前面的知识作整体的总结。本专题知识点如下: MNIST数据集图像数据转图像神经网络的推理处理批处理  MNIST数据集          mnist数据图像 MNIST数据集由0到9的数字图像构成。像素取值在0到255之间。每个图像数据都相应地标有“7”、“2”、“1”等数字标签。MNIST数据集中,

vue怎么处理跨域

Vue.js 本身并不直接解决跨域问题,因为跨域问题主要是浏览器基于同源策略(Same-origin policy)的一种安全限制。然而,在Vue.js项目中,我们可以采取一些策略来绕过或处理跨域问题。 解决跨域问题的常用方法: 代理服务器:在开发环境中,我们可以配置一个代理服务器来转发API请求,从而绕过浏览器的同源策略。Vue CLI 提供了内置的代理功能,可以在 vue.config.j

【机器学习】自然语言处理的新前沿:GPT-4与Beyond

📝个人主页:哈__ 期待您的关注  目录 🔥引言 背景介绍 文章目的 一、GPT-4简介 GPT-4概述 主要特性 局限性和挑战 二、自监督学习的新进展 自监督学习的原理 代表性模型和技术 三、少样本学习和零样本学习 少样本学习的挑战 先进方法 四、跨模态学习 跨模态学习的概念 代表性技术 应用场景 第五部分:可解释性和透明性 AI的可解释

【文末附gpt升级秘笈】腾讯元宝AI搜索解析能力升级:千万字超长文处理的新里程碑

腾讯元宝AI搜索解析能力升级:千万字超长文处理的新里程碑 一、引言 随着人工智能技术的飞速发展,自然语言处理(NLP)和机器学习(ML)在各行各业的应用日益广泛。其中,AI搜索解析能力作为信息检索和知识抽取的核心技术,受到了广泛的关注和研究。腾讯作为互联网行业的领军企业,其在AI领域的探索和创新一直走在前列。近日,腾讯旗下的AI大模型应用——腾讯元宝,迎来了1.1.7版本的升级,新版本在AI搜

AndroidStudio打包处理

AndroidStudio非常强大,公司最近有一个需求是要实现对一个APP进行多个版本的打包,而且可以同时安装在手机上。这个需求详细一点的描述是:公司有一个APP,有多个开发商要使用我们的APP,为了大家都想有一个自己的APP,而且图标不一样,app名字不一样,背景不一样等。我查询了一下资料发现,在AndroidStudio的gradle是可以配置的。在此特意写一篇文章记录分享。 配置签名 首