VHDL | 音乐盒设计(代码类)

2024-06-05 19:58
文章标签 代码 设计 vhdl 音乐盒

本文主要是介绍VHDL | 音乐盒设计(代码类),希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

博主github:https://github.com/MichaelBeechan
博主CSDN:https://blog.csdn.net/u011344545

 

****************************Copyright (c)***************************
-----------------------------File Info----------------------------
File name: music_r.vhd
--------------------------------------------------------------------********************************************************************library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;entity music_r is
port
(clk,start1,start2,start3,start4:in  std_logic;music_r,led1,led2,led3,led4   :out std_logic
);
end music_r;architecture rt1 of music_r is
signal counter6MHz,counter4Hz,counter6MHz2,counter4Hz2,count,origin,count2,origin2:integer range 0 to 200000000;
signal test,music_r_fre,music_r_fre2,clk_6MHz,clk_4Hz,clk_6MHz2,clk_4Hz2:std_logic;
signal i,a:std_logic_vector(11 downto 0);
signal j,b:std_logic_vector(4 downto 0);
signal len,c:integer range 0 to 175;----------------------------------------------------------------------
**************************music one***********************************
----------------------------------------------------------------------beginprocess(start1)
begin
if start1 = '1' thentest <= music_r_fre;led1 <= '0';led2 <= '1';
else led1 <= '1';test <= music_r_fre2;led2 <= '0';
end if;
end process;process(start1,music_r_fre)
begin
if start1 = '1' thenmusic_r <= test;led1 <= '0';
elsemusic_r <= '1';led1 <= '1';
end if;
end process;process(start2,music_r_fre)
begin
if start2 = '1' thenled3 <= test;
elseled3 <= '1';
end if;
end process;*******************************************************************************process(start3,music_r_fre2)
begin
if start3 = '1' thenmusic_r  <= test;led2 <= '0';
elseled2 <= '1';
end if;
end process;process(start4,music_r_fre2)
begin
if start4 = '1' thenled4  <= test;
elseled4 <= '1';
end if;
end process;-----------------------------------------------------------------------------*****************************************************************************
-----------------------------------------------------------------------------process(clk)
begin
if clk'event and clk = '1' thenif counter6MHz = 4 thencounter6MHz <= 0;clk_6MHz <= not clk_6MHz;elsecounter6MHz <= counter6MHz + 1;end if;
end if;
end process;process(clk)
begin
if clk'event and clk = '1' thenif counter4Hz = 4250000 thencounter4Hz <= 0;clk_4Hz <= not clk_4Hz;elsecounter4Hz <= counter4Hz + 1;end if;
end if;
end process;process(clk)
begin
if clk'event and clk = '1' thenif count = 16383 thencount <= origin;music_r_fre <= not music_r_fre;elsecount <= count + 1;end if;
end if;
end process;-----------------------------------------------------------------------------
**********************************music  two*********************************
-----------------------------------------------------------------------------process(start3,music_r_fre2)
begin
if start3 = '1' thenled2  <= music_r_fre2;
elseled2 <= '1';
end if;
end process;process(start4,music_r_fre2)
begin
if start4 = '1' thenled4  <= music_r_fre2;
elseled4 <= '1';
end if;
end process;process(clk)
begin
if clk'event and clk = '1' thenif counter6MHz2 = 4 thencounter6MHz2 <= 0;clk_6MHz2 <= not clk_6MHz2;elsecounter6MHz2 <= counter6MHz2 + 1;end if;
end if;
end process;process(clk)
begin
if clk'event and clk = '1' thenif counter4Hz2 = 4250000 thencounter4Hz2 <= 0;clk_4Hz2 <= not clk_4Hz2;elsecounter4Hz2 <= counter4Hz2 + 1;end if;
end if;
end process;process(clk)
begin
if clk'event and clk = '1' thenif count2 = 16383 thencount2 <= origin2;music_r_fre2 <= not music_r_fre2;elsecount2 <= count2 + 1;end if;
end if;
end process;-------------------------------------------------------------------------------*******************************************************************************
-------------------------------------------------------------------------------process(clk_4Hz)
begin
if clk_4Hz'event and clk_4Hz = '1' thencase (a) iswhen   "000000010000" =>  origin2 <= 010647 ;  -- //middlewhen   "000000100000" =>  origin2 <= 011272 ;when   "000000110000" =>  origin2 <= 011831 ;when   "000001000000" =>  origin2 <= 012087 ;when   "000001010000" =>  origin2 <= 012556 ;when   "000001100000" =>  origin2 <= 012974 ;when   "000001110000" =>  origin2 <= 013346 ;when   "000000000001" =>  origin2 <= 4916 ;  --//lowwhen   "000000000010" =>  origin2 <= 6168 ;when   "000000000011" =>  origin2 <= 7281 ;when   "000000000100" =>  origin2 <= 7791 ;when   "000000000101" =>  origin2 <= 8730 ;when   "000000000110" =>  origin2 <= 9565 ;when   "000000000111" =>  origin2 <= 10310 ;when   "000100000000" =>  origin2 <= 13516 ;  --//highwhen   "001000000000" =>  origin2 <= 13829 ;when   "001100000000" =>  origin2 <= 14108 ;when   "010000000000" =>  origin2 <= 11535 ;when   "010100000000" =>  origin2 <= 14470 ;when   "011000000000" =>  origin2 <= 14678 ;when   "011100000000" =>  origin2 <= 14864 ;when   "000000000000" =>  origin2 <= 16383 ;when   others         =>  origin2 <= 011111;end case ;
end if ;
end process ;process (clk_4Hz) is
begin
if clk_4Hz'event and clk_4Hz = '1' thencase (b) iswhen "00001" =>  a <= "000000000001" ;  --//lowwhen "00010" =>  a <= "000000000010" ;when "00011" =>  a <= "000000000011" ;when "00100" =>  a <= "000000000100" ;when "00101" =>  a <= "000000000101" ;when "00110" =>  a <= "000000000110" ;when "00111" =>  a <= "000000000111" ;when "01000" =>  a <= "000000010000" ;  --//middlewhen "01001" =>  a <= "000000100000" ;when "01010" =>  a <= "000000110000" ;when "01011" =>  a <= "000001000000" ;when "01100" =>  a <= "000001010000" ;when "01101" =>  a <= "000001100000" ;when "01110" =>  a <= "000001110000" ;when "01111" =>  a <= "000100000000" ;  --//highwhen "10000" =>  a <= "001000000000" ;when "10001" =>  a <= "001100000000" ;when "10010" =>  a <= "010000000000" ;when "10011" =>  a <= "010100000000" ;when "10100" =>  a <= "011000000000" ;when "10101" =>  a <= "011100000000" ;when "00000" =>  a <= "000000000000" ;when others  =>  NULL ;end case ;
end if ;
end process ;process (clk_4Hz) is
beginif clk_4Hz'event and clk_4Hz = '1' then if  (c = 115 ) thenc <= 0 ;elsec <= c + 1 ;case  (c) iswhen 0 =>  b <= "00011" ;when 1 =>  b <= "00011" ;          -- 3when 2 =>  b <= "00011" ;when 3 =>  b <= "00000" ;  when 4 =>  b <= "00010" ; --2when 5 =>  b <= "00010" ;when 6 =>  b <= "00000" ;           when 7 =>  b <= "00011" ; --3when 8 =>  b <= "00011" ;when 9 =>  b <= "00011" ;when 10 =>  b <= "00011" ;when 11 =>  b <= "00000" ; when 12 =>  b <= "00011" ;when 13 =>  b <= "00011" ;when 14 =>  b <= "00000" ;when 15 =>  b <= "00010" ;when 16 =>  b <= "00010" ;when 17 =>  b <= "00000" ;when 18 =>  b <= "00001" ; --1--when 19 =>  b <= "00001" ;when 20 =>  b <= "00001" ;when 21 =>  b <= "00001" ;when 22 =>  b <= "00001" ;when 23 =>  b <= "00001" ;when 24 =>  b <= "00000" ;when 25 =>  b <= "00110" ;          -- 6when 26 =>  b <= "00110" ;when 27 =>  b <= "00000" ; when 28 =>  b <= "00001" ;when 29 =>  b <= "00001" ;          when 30 =>  b <= "00000" ;         -- 0when 31 =>  b <= "00010" ;         -- 2when 32 =>  b <= "00010" ;when 33 =>  b <= "00010" ;  when 34 =>  b <= "00010" ;         when 35 =>  b <= "00000" ;         -- 0when 36 =>  b <= "00010" ;         -- 2 3when 37 =>  b <= "00010" ;when 38 =>  b <= "00000" ; when 39 =>  b <= "00011" ;when 40 =>  b <= "00011"  ;        when 41 =>  b <= "00000" ;         -- 0when 42 =>  b <= "00010" ;         -- 2 1when 43 =>  b <= "00010" ;when 44 =>  b <= "00000" ; when 45 =>  b <= "00001" ;when 46 =>  b <= "00001"  ;        when 47 =>  b <= "00000" ;    -- 0when 48 =>  b <= "00110" ;         -- 6  1when 49 =>  b <= "00110" ;when 50 =>  b <= "00000" ; when 51 =>  b <= "00001" ;when 52 =>  b <= "00001"  ;        when 53 =>  b <= "00000" ;    -- 0when 54 =>  b <= "00101" ;         -- 5when 55 =>  b <= "00101" ;when 56 =>  b <= "00101" ; when 57 =>  b <= "00101" ;when 58 =>  b <= "00101" ;  when 59 =>  b <= "00101" ;         when 60 =>  b <= "00101" ;when 61 =>  b <= "00101"  ;        when 62 =>  b <= "00000" ;     -- 0when 63 =>  b <= "00011" ;          -- 5when 64 =>  b <= "00011" ;when 65 =>  b <= "00000" ;          -- 0when 66 =>  b <= "00011" ;          -- 3when 67 =>  b <= "00011" ;when 68 =>  b <= "00010" ; --2when 69 =>  b <= "00010" ;when 70 =>  b <= "00000" ;           when 71 =>  b <= "00011" ; --3when 72 =>  b <= "00011" ;when 73 =>  b <= "00011" ;when 74 =>  b <= "00011" ;when 75 =>  b <= "00000" ; when 76 =>  b <= "00011" ;when 77 =>  b <= "00011" ;when 78 =>  b <= "00000" ;when 79 =>  b <= "00010" ;when 80 =>  b <= "00010" ;when 81 =>  b <= "00000" ;when 82 =>  b <= "00001" ; --1--when 83 =>  b <= "00001" ;when 84 =>  b <= "00001" ;when 85 =>  b <= "00001" ;when 86 =>  b <= "00001" ;when 87 =>  b <= "00001" ;when 88 =>  b <= "00000" ;when 89 =>  b <= "00110" ;          -- 6when 90 =>  b <= "00110" ;when 91 =>  b <= "00000" ; when 92 =>  b <= "00001" ;when 93 =>  b <= "00001" ;          when 94 =>  b <= "00000" ;         -- 0when 95 =>  b <= "00010" ;         -- 2when 96 =>  b <= "00010" ;when 97 =>  b <= "00010" ;  when 98 =>  b <= "00010" ;         when 99 =>  b <= "00000" ;         -- 0when 100 =>  b <= "00010" ;         -- 2 3when 101 =>  b <= "00010" ;when 102 =>  b <= "00000" ; when 103 =>  b <= "00011" ;when 104 =>  b <= "00011"  ;        when 105 =>  b <= "00000" ;         -- 0when 106 =>  b <= "00010" ;         -- 2 when 107 =>  b <= "00010" ;when 108 =>  b <= "00000" ; when 109 =>  b <= "00001" ;when 110 =>  b <= "00001"  ;        when 111 =>  b <= "00000" ;         -- 0when 112 =>  b <= "00110" ;         -- 6  1when 113 =>  b <= "00110" ;        when 114 =>  b <= "00000" ;       -- 0when 115 =>  b <= "00001" ;when 116 =>  b <= "00001"  ;        when 117 =>  b <= "00000" ;         -- 0when 118 =>  b <= "00011" ;          -- 5when 119 =>  b <= "00011" ;when 120 =>  b <= "00000" ;          -- 0when 121 =>  b <= "00011" ;          -- 3when 122 =>  b <= "00011" ;when 123 =>  b <= "00010" ; --2when 124 =>  b <= "00010" ;when 125 =>  b <= "00000" ;           when 126 =>  b <= "00011" ; --3when 127 =>  b <= "00011" ;when 128 =>  b <= "00011" ;when 129 =>  b <= "00011" ;when 130 =>  b <= "00000" ; when 131 =>  b <= "00011" ;when 132 =>  b <= "00011" ;when 133 =>  b <= "00000" ;when 134 =>  b <= "00010" ;when 135 =>  b <= "00010" ;when 136 =>  b <= "00000" ;when 137 =>  b <= "00001" ; --1--when 138 =>  b <= "00001" ;when 139 =>  b <= "00001" ;when 140 =>  b <= "00001" ;when 141 =>  b <= "00001" ;when 142 =>  b <= "00001" ;when 143 =>  b <= "00000" ;when 144 =>  b <= "00110" ;          -- 6when 145 =>  b <= "00110" ;when 146 =>  b <= "00000" ; when 147 =>  b <= "00001" ;when 148 =>  b <= "00001" ;  when 149 =>  b <= "00000" ;         -- 0when 150 =>  b <= "00010" ;         -- 2
when 151 =>  b <= "00010" ;
when 152 =>  b <= "00010" ; 
when 153 =>  b <= "00010" ;         
when 154 =>  b <= "00000" ;         -- 0
when 155 =>  b <= "00010" ;         -- 2 3
when 156 =>  b <= "00010" ;
when 157 =>  b <= "00000" ; 
when 158 =>  b <= "00011" ;
when 159 =>  b <= "00011"  ;        
when 160 =>  b <= "00000" ;    -- 0
when 161 =>  b <= "00010" ;         -- 2 1
when 162 =>  b <= "00010" ;
when 163 =>  b <= "00000" ; 
when 164 =>  b <= "00001" ;
when 165 =>  b <= "00001" ;        
when 166 =>  b <= "00000" ;         -- 0
when 167 =>  b <= "00110" ;         -- 6  1
when 168 =>  b <= "00110" ;
when 169 =>  b <= "00000" ; 
when 170 =>  b <= "00001" ;
when 171 =>  b <= "00001"  ;        
when 172 =>  b <= "00000" ;         -- 0when others     =>  NULL ;end case ;end if ;
end if ;
end process ;process(clk_4Hz)
begin
if clk_4Hz'event and clk_4Hz = '1' then
case (i) is
when   "000000010000" =>  origin <= 010647 ;  -- //middlewhen   "000000100000" =>  origin <= 011272 ;when   "000000110000" =>  origin <= 011831 ;when   "000001000000" =>  origin <= 012087 ;when   "000001010000" =>  origin <= 012556 ;when   "000001100000" =>  origin <= 012974 ;when   "000001110000" =>  origin <= 013346 ;when   "000000000001" =>  origin <= 4916 ;  --//lowwhen   "000000000010" =>  origin <= 6168 ;when   "000000000011" =>  origin <= 7281 ;when   "000000000100" =>  origin <= 7791 ;when   "000000000101" =>  origin <= 8730 ;when   "000000000110" =>  origin <= 9565 ;when   "000000000111" =>  origin <= 10310 ;when   "000100000000" =>  origin <= 13516 ;  --//highwhen   "001000000000" =>  origin <= 13829 ;when   "001100000000" =>  origin <= 14108 ;when   "010000000000" =>  origin <= 11535 ;when   "010100000000" =>  origin <= 14470 ;when   "011000000000" =>  origin <= 14678 ;when   "011100000000" =>  origin <= 14864 ;
when   "000000000000" =>  origin <= 16383 ;when   others         =>  origin <= 011111;end case ;
end if ;
end process ;p5: process (clk_4Hz) is
beginif clk_4Hz'event and clk_4Hz = '1' thencase (j) iswhen "00001" =>  i <= "000000000001" ;  --//lowwhen "00010" =>  i <= "000000000010" ;when "00011" =>  i <= "000000000011" ;when "00100" =>  i <= "000000000100" ;when "00101" =>  i <= "000000000101" ;when "00110" =>  i <= "000000000110" ;when "00111" =>  i <= "000000000111" ;when "01000" =>  i <= "000000010000" ;  --//middlewhen "01001" =>  i <= "000000100000" ;when "01010" =>  i <= "000000110000" ;when "01011" =>  i <= "000001000000" ;when "01100" =>  i <= "000001010000" ;when "01101" =>  i <= "000001100000" ;when "01110" =>  i <= "000001110000" ;when "01111" =>  i <= "000100000000" ;  --//highwhen "10000" =>  i <= "001000000000" ;when "10001" =>  i <= "001100000000" ;when "10010" =>  i <= "010000000000" ;when "10011" =>  i <= "010100000000" ;when "10100" =>  i <= "011000000000" ;when "10101" =>  i <= "011100000000" ;
when "00000" =>  i <= "000000000000" ;
when others  =>  NULL ;end case ;end if ;
end process ;
p6:process (clk_4Hz) is
beginif clk_4Hz'event and clk_4Hz = '1' then if  (len = 115 ) thenlen <= 0 ;else
len <= len + 1 ;
case  (len) iswhen 0 =>  j <= "00101" ;          -- 5when 1 =>  j <= "00101" ;when 2 =>  j <= "00000" ;          -- 0when 3 =>  j <= "00101" ;          -- 5when 4 =>  j <= "00101" ;         when 5 =>  j <= "00000" ;          -- 0when 6 =>  j <= "00110" ;          -- 6when 7 =>  j <= "00110" ;when 8 =>  j <= "00110" ;when 9 =>  j <= "00110" ;          when 10 =>  j <= "00000" ;         -- 0when 11 =>  j <= "00101" ;         -- 5when 12 =>  j <= "00101" ;when 13 =>  j <= "00101" ;         when 14 =>  j <= "00101" ;         
when 15 =>  j <= "00000" ;         -- 0
when 16 =>  j <= "01000" ;         -- +1
when 17 =>  j <= "01000" ;
when 18 =>  j <= "01000" ;
when 19 =>  j <= "01000"  ;        
when 20 =>  j <= "00000" ;         -- 0
when 21 =>  j <= "00111" ;         -- 7
when 22 =>  j <= "00111" ;
when 23 =>  j <= "00111" ;
when 24 =>  j <= "00111" ;          
when 25 =>  j <= "00000" ;         -- 0
when 26 =>  j <= "00101" ;         -- 5
when 27 =>  j <= "00101" ;
when 28 =>  j <= "00000" ;         -- 0
when 29 =>  j <= "00101" ;        -- 5
when 30 =>  j <= "00101" ;     
when 31 =>  j <= "00000" ;        -- 0
when 32 =>  j <= "00110" ;        -- 6
when 33 =>  j <= "00110" ;
when 34 =>  j <= "00110" ;
when 35 =>  j <= "00110" ;        
when 36 =>  j <= "00000" ;        -- 0
when 37 =>  j <= "00101" ;        -- 5
when 38 =>  j <= "00101" ;
when 39 =>  j <= "00101" ;
when 40 =>  j <= "00101" ;        
when 41 =>  j <= "00000" ;        -- 0
when 42 =>  j <= "01001" ;        -- +2
when 43 =>  j <= "01001" ;
when 44 =>  j <= "01001" ;
when 45 =>  j <= "01001" ;        
when 46 =>  j <= "00000" ;        -- 0
when 47 =>  j <= "01000" ;        -- +1
when 48 =>  j <= "01000" ;
when 49 =>  j <= "01000" ;
when 50 =>  j <= "01000" ;        
when 51 =>  j <= "00000" ;        -- 0
when 52 =>  j <= "00101" ;        --5
when 53 =>  j <= "00101" ;
when 54 =>  j <= "00000" ;        -- 0
when 55 =>  j <= "00101" ;        -- 5
when 56 =>  j <= "00101" ;        
when 57 =>  j <= "00000" ;        -- 0
when 58 =>  j <= "01100" ;        -- +5
when 59 =>  j <= "01100" ;
when 60 =>  j <= "01100" ;        
when 61 =>  j <= "01100" ;       
when 62 =>  j <= "00000" ;        -- 0
when 63 =>  j <= "01010" ;        -- +3
when 64 =>  j <= "01010" ;
when 65 =>  j <= "01010" ;
when 66 =>  j <= "01010" ;       
when 67 =>  j <= "00000" ;        -- 0
when 68 =>  j <= "01000" ;        -- +1
when 69 =>  j <= "01000" ;
when 70 =>  j <= "01000" ;
when 71 =>  j <= "01000" ;        
when 72 =>  j <= "00000" ;        -- 0
when 73 =>  j <= "00111" ;        -- 7
when 74 =>  j <= "00111" ;
when 75 =>  j <= "00111" ;
when 76 =>  j <= "00111" ;       
when 77 =>  j <= "00000" ;        -- 0
when 78 =>  j <= "00110" ;        -- 6
when 79 =>  j <= "00110" ;
when 80 =>  j <= "00110" ;
when 81 =>  j <= "00110" ;        
when 82 =>  j <= "00000" ;        -- 0
when 83 =>  j <= "01011" ;        -- +4
when 84 =>  j <= "01011" ;
when 85 =>  j <= "00000" ;        -- 0
when 86 =>  j <= "01011" ;        -- +4
when 87 =>  j <= "01011" ;        
when 88 =>  j <= "00000" ;        -- 0
when 89 =>  j <= "01010" ;        -- +3
when 90 =>  j <= "01010" ;
when 91 =>  j <= "01010" ;        
when 92 =>  j <= "01010" ;       
when 93 =>  j <= "00000" ;        -- 0
when 94 =>  j <= "01000" ;        -- +1
when 95 =>  j <= "01000" ;
when 96 =>  j <= "01000" ;        
when 97 =>  j <= "01000" ;      
when 98 =>  j <= "00000" ;        -- 0
when 99 =>  j <= "01001" ;        --+2
when 100 =>  j <= "01001" ;
when 101 =>  j <= "01001" ;
when 102 =>  j <= "01001" ;       
when 103 =>  j <= "00000" ;       -- 0
when 104 =>  j <= "01000" ;       -- +1
when 105 =>  j <= "01000" ;
when 106 =>  j <= "01000" ;
when 107 =>  j <= "01000" ;      
when 108 =>  j <= "00000" ;       -- 0
when 109 =>  j <= "00000" ;when 110 =>  j <= "00110" ;
when 112 =>  j <= "00000" ;       -- 0
when 113 =>  j <= "00100" ;       -- 4
when 114 =>  j <= "00100" ;
when 115 =>  j <= "00100" ;
when 116 =>  j <= "00100" ;
when 117 =>  j <= "00000" ;       --0
when 118 =>  j <= "00101" ;       -- 5
when 119 =>  j <= "00101" ;
when 120 =>  j <= "00101" ;
when 121 =>  j <= "00101" ;
when 122 =>  j <= "00000" ;       --0
when 123 =>  j <= "00100" ;       -- 4
when 124 =>  j <= "00100" ;
when 125 =>  j <= "00100" ;
when 126 =>  j <= "00100" ;
when 127 =>  j <= "00000" ;       -- 0
when 128 =>  j <= "00000" ;
when 129 =>  j <= "00000" ; 
when others     =>  NULL ;
end case ;
end if ;
end if ;
end process ;end rt1;


 

这篇关于VHDL | 音乐盒设计(代码类)的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1034026

相关文章

不懂推荐算法也能设计推荐系统

本文以商业化应用推荐为例,告诉我们不懂推荐算法的产品,也能从产品侧出发, 设计出一款不错的推荐系统。 相信很多新手产品,看到算法二字,多是懵圈的。 什么排序算法、最短路径等都是相对传统的算法(注:传统是指科班出身的产品都会接触过)。但对于推荐算法,多数产品对着网上搜到的资源,都会无从下手。特别当某些推荐算法 和 “AI”扯上关系后,更是加大了理解的难度。 但,不了解推荐算法,就无法做推荐系

活用c4d官方开发文档查询代码

当你问AI助手比如豆包,如何用python禁止掉xpresso标签时候,它会提示到 这时候要用到两个东西。https://developers.maxon.net/论坛搜索和开发文档 比如这里我就在官方找到正确的id描述 然后我就把参数标签换过来

poj 1258 Agri-Net(最小生成树模板代码)

感觉用这题来当模板更适合。 题意就是给你邻接矩阵求最小生成树啦。~ prim代码:效率很高。172k...0ms。 #include<stdio.h>#include<algorithm>using namespace std;const int MaxN = 101;const int INF = 0x3f3f3f3f;int g[MaxN][MaxN];int n

怎么让1台电脑共享给7人同时流畅设计

在当今的创意设计与数字内容生产领域,图形工作站以其强大的计算能力、专业的图形处理能力和稳定的系统性能,成为了众多设计师、动画师、视频编辑师等创意工作者的必备工具。 设计团队面临资源有限,比如只有一台高性能电脑时,如何高效地让七人同时流畅地进行设计工作,便成为了一个亟待解决的问题。 一、硬件升级与配置 1.高性能处理器(CPU):选择多核、高线程的处理器,例如Intel的至强系列或AMD的Ry

计算机毕业设计 大学志愿填报系统 Java+SpringBoot+Vue 前后端分离 文档报告 代码讲解 安装调试

🍊作者:计算机编程-吉哥 🍊简介:专业从事JavaWeb程序开发,微信小程序开发,定制化项目、 源码、代码讲解、文档撰写、ppt制作。做自己喜欢的事,生活就是快乐的。 🍊心愿:点赞 👍 收藏 ⭐评论 📝 🍅 文末获取源码联系 👇🏻 精彩专栏推荐订阅 👇🏻 不然下次找不到哟~Java毕业设计项目~热门选题推荐《1000套》 目录 1.技术选型 2.开发工具 3.功能

代码随想录冲冲冲 Day39 动态规划Part7

198. 打家劫舍 dp数组的意义是在第i位的时候偷的最大钱数是多少 如果nums的size为0 总价值当然就是0 如果nums的size为1 总价值是nums[0] 遍历顺序就是从小到大遍历 之后是递推公式 对于dp[i]的最大价值来说有两种可能 1.偷第i个 那么最大价值就是dp[i-2]+nums[i] 2.不偷第i个 那么价值就是dp[i-1] 之后取这两个的最大值就是d

pip-tools:打造可重复、可控的 Python 开发环境,解决依赖关系,让代码更稳定

在 Python 开发中,管理依赖关系是一项繁琐且容易出错的任务。手动更新依赖版本、处理冲突、确保一致性等等,都可能让开发者感到头疼。而 pip-tools 为开发者提供了一套稳定可靠的解决方案。 什么是 pip-tools? pip-tools 是一组命令行工具,旨在简化 Python 依赖关系的管理,确保项目环境的稳定性和可重复性。它主要包含两个核心工具:pip-compile 和 pip

基于51单片机的自动转向修复系统的设计与实现

文章目录 前言资料获取设计介绍功能介绍设计清单具体实现截图参考文献设计获取 前言 💗博主介绍:✌全网粉丝10W+,CSDN特邀作者、博客专家、CSDN新星计划导师,一名热衷于单片机技术探索与分享的博主、专注于 精通51/STM32/MSP430/AVR等单片机设计 主要对象是咱们电子相关专业的大学生,希望您们都共创辉煌!✌💗 👇🏻 精彩专栏 推荐订阅👇🏻 单片机

D4代码AC集

贪心问题解决的步骤: (局部贪心能导致全局贪心)    1.确定贪心策略    2.验证贪心策略是否正确 排队接水 #include<bits/stdc++.h>using namespace std;int main(){int w,n,a[32000];cin>>w>>n;for(int i=1;i<=n;i++){cin>>a[i];}sort(a+1,a+n+1);int i=1

SprinBoot+Vue网络商城海鲜市场的设计与实现

目录 1 项目介绍2 项目截图3 核心代码3.1 Controller3.2 Service3.3 Dao3.4 application.yml3.5 SpringbootApplication3.5 Vue 4 数据库表设计5 文档参考6 计算机毕设选题推荐7 源码获取 1 项目介绍 博主个人介绍:CSDN认证博客专家,CSDN平台Java领域优质创作者,全网30w+