使用system verilog进行流水灯和VGA打印字符

2024-06-03 22:52

本文主要是介绍使用system verilog进行流水灯和VGA打印字符,希望对大家解决编程问题提供一定的参考价值,需要的开发者们随着小编来一起学习吧!

使用system verilog进行流水灯和VGA打印字符

目录

  • **使用system verilog进行流水灯和VGA打印字符**
    • **system verilog的优点**
    • **VGA程序编写**
      • VGA 控制器模块
      • 字符生成模块
      • 顶层模块
      • 测试基准程序
      • **效果**
    • **流水灯程序设计**
      • **效果**
    • **总结**

system verilog的优点

面向对象编程:SystemVerilog 引入了面向对象的编程特性,如类(class)和继承,这有助于创建可重用的代码和设计模式。

增强的验证能力:SystemVerilog 支持断言(assertions)和覆盖率(coverage)分析,这些是验证复杂设计的关键工具。

并发建模:SystemVerilog 允许更自然地建模并发事件,通过使用 fork-join 语句来创建并行线程。

更丰富的数据类型:SystemVerilog 增加了多种数据类型,例如枚举(enum)、结构体(struct)、联合体(union)和数组,这些使得数据建模更加灵活和强大。

参数化编程:通过宏和参数化编程,SystemVerilog 允许更灵活的设计,可以更容易地创建可配置和可重用的设计组件。

改善的模块间连接:SystemVerilog 引入了接口(interface)的概念,简化了模块间的连接,并使得设计更加模块化。

更高级的建模能力:SystemVerilog 支持更高层次的抽象建模,有助于设计者在系统级别进行设计和验证。

随机化测试:SystemVerilog 支持随机化测试,可以自动生成测试向量,这有助于更全面地验证设计。

更有效的代码复用:通过封装和模块化,SystemVerilog 促进了代码的复用,提高了设计效率。

标准和兼容性:SystemVerilog 作为 IEEE 标准的一部分,确保了设计和验证方法的兼容性和标准化。

VGA程序编写

VGA 控制器模块

首先是 VGA 控制器模块,负责生成 VGA 信号和像素坐标。

module VGAController (input logic clk,      // 时钟input logic reset,    // 复位output logic hsync,   // 水平同步信号output logic vsync,   // 垂直同步信号output logic [9:0] pixelX,  // 像素 X 坐标output logic [9:0] pixelY,  // 像素 Y 坐标output logic displayOn       // 是否显示像素
);// VGA 时序参数parameter H_VISIBLE_AREA = 640;parameter H_FRONT_PORCH = 16;parameter H_SYNC_PULSE = 96;parameter H_BACK_PORCH = 48;parameter H_TOTAL = H_VISIBLE_AREA + H_FRONT_PORCH + H_SYNC_PULSE + H_BACK_PORCH;parameter V_VISIBLE_AREA = 480;parameter V_FRONT_PORCH = 10;parameter V_SYNC_PULSE = 2;parameter V_BACK_PORCH = 33;parameter V_TOTAL = V_VISIBLE_AREA + V_FRONT_PORCH + V_SYNC_PULSE + V_BACK_PORCH;// 水平和垂直计数器logic [9:0] hCounter;logic [9:0] vCounter;// 水平计数器更新always_ff @(posedge clk or posedge reset) beginif (reset) beginhCounter <= 0;vCounter <= 0;end else beginif (hCounter == H_TOTAL - 1) beginhCounter <= 0;if (vCounter == V_TOTAL - 1) beginvCounter <= 0;end else beginvCounter <= vCounter + 1;endend else beginhCounter <= hCounter + 1;endendend// 生成同步信号assign hsync = !(hCounter >= H_VISIBLE_AREA + H_FRONT_PORCH && hCounter < H_VISIBLE_AREA + H_FRONT_PORCH + H_SYNC_PULSE);assign vsync = !(vCounter >= V_VISIBLE_AREA + V_FRONT_PORCH && vCounter < V_VISIBLE_AREA + V_FRONT_PORCH + V_SYNC_PULSE);// 输出像素坐标和显示信号assign pixelX = hCounter;assign pixelY = vCounter;assign displayOn = (hCounter < H_VISIBLE_AREA) && (vCounter < V_VISIBLE_AREA);
endmodule

字符生成模块

接下来是字符生成模块,根据像素坐标生成 “你好FPGA” 字符。

module CharGen (input logic clk,          // 时钟input logic reset,        // 复位input logic [9:0] pixelX, // 像素 X 坐标input logic [9:0] pixelY, // 像素 Y 坐标input logic displayOn,    // 是否显示像素output logic [3:0] red,   // 红色分量output logic [3:0] green, // 绿色分量output logic [3:0] blue   // 蓝色分量
);// 字符映射表,可以通过像素坐标获取相应的像素值reg [63:0] charMap [0:6] = '{64'h7C121212127C00, 64'h42427E424200, 64'h7E0909090000, 64'h7E0909090600, 64'h3E414949493200, 64'h7E09097E0000, 64'h00000000000000};// 计算字符索引和像素在字符中的位置reg [3:0] charIndex;reg [2:0] charRow, charCol;reg [2:0] pixelXInChar, pixelYInChar;reg charPixel;always_ff @(posedge clk or posedge reset) beginif (reset) begincharIndex <= 0;charRow <= 0;charCol <= 0;pixelXInChar <= 0;pixelYInChar <= 0;charPixel <= 0;end else begin// 计算当前字符索引charCol <= pixelX / 8;charRow <= pixelY / 12;charIndex <= charCol + (charRow * 8);// 计算像素在字符中的位置pixelXInChar <= pixelX % 8;pixelYInChar <= pixelY % 12;// 获取当前像素值charPixel <= (charMap[charIndex])[63 - (pixelYInChar * 8 + pixelXInChar)];endend// 生成 RGB 信号always_comb begincase (charPixel)1'b0: beginred = 4'h0;green = 4'h0;blue = 4'h0;end1'b1: beginred = 4'hF;green = 4'hF;blue = 4'hF;enddefault: beginred = 4'h0;green = 4'h0;blue = 4'h0;endendcaseend
endmodule

顶层模块

以下是顶层模块,将 VGA 控制器和字符生成模块结合在一起,并输出到开发板上。

module Top (input logic clk,   // 时钟input logic reset, // 复位output logic [3:0] red,     // 红色信号output logic [3:0] green,   // 绿色信号output logic [3:0] blue,    // 蓝色信号output logic hsync,         // 水平同步信号output logic vsync          // 垂直同步信号
);// 实例化 VGA 控制器和字符生成模块VGAController vgaController (.clk(clk),.reset(reset),.hsync(hsync),.vsync(vsync),.pixelX(pixelX),.pixelY(pixelY),.displayOn(displayOn));CharGen charGen (.clk(clk),.reset(reset),.pixelX(pixelX),.pixelY(pixelY),.displayOn(displayOn),.red(red),.green(green),.blue(blue));endmodule

测试基准程序

最后是测试基准程序,用于测试顶层模块的功能。

module Top_tb;// 时钟和复位信号logic clk;logic reset;// VGA 控制器和字符生成模块的信号logic hsync;logic vsync;logic [9:0] pixelX;logic [9:0] pixelY;logic displayOn;logic [3:0] red;logic [3:0] green;logic [3:0] blue;// 实例化被测试的模块Top dut (.clk(clk),.reset(reset),.hsync(hsync),.vsync(vsync),.red(red),.green(green),.blue(blue));// 时钟生成always #5 clk = ~clk;// 复位信号生成initial beginreset = 1;#10;reset = 0;#10000;$finish;end// 输出测试结果always @(posedge clk) begin$display("hsync: %b, vsync: %b, red: %h, green: %h, blue: %h", hsync, vsync, red, green, blue);endendmodule

效果

在这里插入图片描述

流水灯程序设计

流水灯模块

module LED(input clk,input rst_n,  // _n低电平有效output logic [3:0] led
);// 1.5s计数器
logic [27:0] cnt;
parameter int TIME_1_5S = 75_000_000;
// 由于cnt已经声明为logic类型,不需要额外的信号声明logic add_cnt;logic end_cnt;// 赋值语句不需要阻塞赋值的begin-end结构
always_ff @(posedge clk or negedge rst_n) beginif (!rst_n) begincnt <= 28'd0;end else if (add_cnt) beginif (end_cnt) begincnt <= 28'd0;end else begincnt <= cnt + 1;endend
end// 使用always_comb声明组合逻辑
always_comb beginadd_cnt = 1'b1;end_cnt = add_cnt && cnt == (TIME_1_5S - 1);
end// 拼接法控制LED
always_ff @(posedge clk or negedge rst_n) beginif (!rst_n) beginled <= 4'b1110;end else if (end_cnt) beginled <= {led[2:0], led[3]}; // 流水灯end
endendmodule

顶层模块设计

`timescale 1ns/1ns
module     LED_tb();reg   tb_clk;
reg   tb_rst_n;
wire  [3:0]tb_led;LED #(.TIME_1_5S(750))           inst_LED(.clk      (tb_clk     ) ,.rst_n    (tb_rst_n   ) ,.led      (tb_led )   
);parameter cycle =20 ;//时钟周期为20nsalways#(cycle/2)tb_clk=~tb_clk;//过时钟周期一半取反initial begintb_clk=1'b0;tb_rst_n=1'b0;#(cycle*3);tb_rst_n=1'b1;#(cycle*751*16);$stop;endendmodule

效果

在这里插入图片描述

在这里插入图片描述

总结

这次对system verilog的编写让我对system verilog有了清晰的认识。

这篇关于使用system verilog进行流水灯和VGA打印字符的文章就介绍到这儿,希望我们推荐的文章对编程师们有所帮助!



http://www.chinasem.cn/article/1028347

相关文章

中文分词jieba库的使用与实景应用(一)

知识星球:https://articles.zsxq.com/id_fxvgc803qmr2.html 目录 一.定义: 精确模式(默认模式): 全模式: 搜索引擎模式: paddle 模式(基于深度学习的分词模式): 二 自定义词典 三.文本解析   调整词出现的频率 四. 关键词提取 A. 基于TF-IDF算法的关键词提取 B. 基于TextRank算法的关键词提取

使用SecondaryNameNode恢复NameNode的数据

1)需求: NameNode进程挂了并且存储的数据也丢失了,如何恢复NameNode 此种方式恢复的数据可能存在小部分数据的丢失。 2)故障模拟 (1)kill -9 NameNode进程 [lytfly@hadoop102 current]$ kill -9 19886 (2)删除NameNode存储的数据(/opt/module/hadoop-3.1.4/data/tmp/dfs/na

Hadoop数据压缩使用介绍

一、压缩原则 (1)运算密集型的Job,少用压缩 (2)IO密集型的Job,多用压缩 二、压缩算法比较 三、压缩位置选择 四、压缩参数配置 1)为了支持多种压缩/解压缩算法,Hadoop引入了编码/解码器 2)要在Hadoop中启用压缩,可以配置如下参数

Makefile简明使用教程

文章目录 规则makefile文件的基本语法:加在命令前的特殊符号:.PHONY伪目标: Makefilev1 直观写法v2 加上中间过程v3 伪目标v4 变量 make 选项-f-n-C Make 是一种流行的构建工具,常用于将源代码转换成可执行文件或者其他形式的输出文件(如库文件、文档等)。Make 可以自动化地执行编译、链接等一系列操作。 规则 makefile文件

使用opencv优化图片(画面变清晰)

文章目录 需求影响照片清晰度的因素 实现降噪测试代码 锐化空间锐化Unsharp Masking频率域锐化对比测试 对比度增强常用算法对比测试 需求 对图像进行优化,使其看起来更清晰,同时保持尺寸不变,通常涉及到图像处理技术如锐化、降噪、对比度增强等 影响照片清晰度的因素 影响照片清晰度的因素有很多,主要可以从以下几个方面来分析 1. 拍摄设备 相机传感器:相机传

【Prometheus】PromQL向量匹配实现不同标签的向量数据进行运算

✨✨ 欢迎大家来到景天科技苑✨✨ 🎈🎈 养成好习惯,先赞后看哦~🎈🎈 🏆 作者简介:景天科技苑 🏆《头衔》:大厂架构师,华为云开发者社区专家博主,阿里云开发者社区专家博主,CSDN全栈领域优质创作者,掘金优秀博主,51CTO博客专家等。 🏆《博客》:Python全栈,前后端开发,小程序开发,人工智能,js逆向,App逆向,网络系统安全,数据分析,Django,fastapi

pdfmake生成pdf的使用

实际项目中有时会有根据填写的表单数据或者其他格式的数据,将数据自动填充到pdf文件中根据固定模板生成pdf文件的需求 文章目录 利用pdfmake生成pdf文件1.下载安装pdfmake第三方包2.封装生成pdf文件的共用配置3.生成pdf文件的文件模板内容4.调用方法生成pdf 利用pdfmake生成pdf文件 1.下载安装pdfmake第三方包 npm i pdfma

零基础学习Redis(10) -- zset类型命令使用

zset是有序集合,内部除了存储元素外,还会存储一个score,存储在zset中的元素会按照score的大小升序排列,不同元素的score可以重复,score相同的元素会按照元素的字典序排列。 1. zset常用命令 1.1 zadd  zadd key [NX | XX] [GT | LT]   [CH] [INCR] score member [score member ...]

业务中14个需要进行A/B测试的时刻[信息图]

在本指南中,我们将全面了解有关 A/B测试 的所有内容。 我们将介绍不同类型的A/B测试,如何有效地规划和启动测试,如何评估测试是否成功,您应该关注哪些指标,多年来我们发现的常见错误等等。 什么是A/B测试? A/B测试(有时称为“分割测试”)是一种实验类型,其中您创建两种或多种内容变体——如登录页面、电子邮件或广告——并将它们显示给不同的受众群体,以查看哪一种效果最好。 本质上,A/B测

git使用的说明总结

Git使用说明 下载安装(下载地址) macOS: Git - Downloading macOS Windows: Git - Downloading Windows Linux/Unix: Git (git-scm.com) 创建新仓库 本地创建新仓库:创建新文件夹,进入文件夹目录,执行指令 git init ,用以创建新的git 克隆仓库 执行指令用以创建一个本地仓库的